⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 mem.vhd

📁 VHDL实现 SPWM 通过ADC1407转换实现变频控制和变幅控制; 通过LCD1602实现频率和调制比显示
💻 VHD
📖 第 1 页 / 共 2 页
字号:
------------------------------------------------------------------------------------ Company: -- Engineer: -- -- Create Date:    13:43:58 04/08/2009 -- Design Name: -- Module Name:    MEM - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created-- Additional Comments: ------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity MEM is    Port ( ADDR : in  STD_LOGIC_VECTOR (8 downto 0);			  CLK: in  STD_LOGIC;           MEM_DATA : out  STD_LOGIC_VECTOR (8 downto 0));end MEM;architecture RTL of MEM isbegin process(ADDR, CLK)beginif rising_edge(CLK) then case (ADDR) isWHEN "000000000" => MEM_DATA <= "000000000";
WHEN "000000001" => MEM_DATA <= "000000001";
WHEN "000000010" => MEM_DATA <= "000000010";
WHEN "000000011" => MEM_DATA <= "000000010"; 
WHEN "000000100" => MEM_DATA <= "000000011";
WHEN "000000101" => MEM_DATA <= "000000100";
WHEN "000000110" => MEM_DATA <= "000000101";
WHEN "000000111" => MEM_DATA <= "000000101";
WHEN "000001000" => MEM_DATA <= "000000110"; 
WHEN "000001001" => MEM_DATA <= "000000111";
WHEN "000001010" => MEM_DATA <= "000001000";
WHEN "000001011" => MEM_DATA <= "000001001";
WHEN "000001100" => MEM_DATA <= "000001001";
WHEN "000001101" => MEM_DATA <= "000001010"; 
WHEN "000001110" => MEM_DATA <= "000001011";
WHEN "000001111" => MEM_DATA <= "000001100";
WHEN "000010000" => MEM_DATA <= "000001101";
WHEN "000010001" => MEM_DATA <= "000001101";
WHEN "000010010" => MEM_DATA <= "000001110"; 
WHEN "000010011" => MEM_DATA <= "000001111"; 
WHEN "000010100" => MEM_DATA <= "000010000";
WHEN "000010101" => MEM_DATA <= "000010000";
WHEN "000010110" => MEM_DATA <= "000010001";
WHEN "000010111" => MEM_DATA <= "000010010"; 
WHEN "000011000" => MEM_DATA <= "000010011";
WHEN "000011001" => MEM_DATA <= "000010100";
WHEN "000011010" => MEM_DATA <= "000010100";
WHEN "000011011" => MEM_DATA <= "000010101";
WHEN "000011100" => MEM_DATA <= "000010110"; 
WHEN "000011101" => MEM_DATA <= "000010111";
WHEN "000011110" => MEM_DATA <= "000010111";
WHEN "000011111" => MEM_DATA <= "000011000";
WHEN "000100000" => MEM_DATA <= "000011001";
WHEN "000100001" => MEM_DATA <= "000011010"; 
WHEN "000100010" => MEM_DATA <= "000011011";
WHEN "000100011" => MEM_DATA <= "000011011";
WHEN "000100100" => MEM_DATA <= "000011100";
WHEN "000100101" => MEM_DATA <= "000011101";
WHEN "000100110" => MEM_DATA <= "000011110"; 
WHEN "000100111" => MEM_DATA <= "000011110";
WHEN "000101000" => MEM_DATA <= "000011111";
WHEN "000101001" => MEM_DATA <= "000100000";
WHEN "000101010" => MEM_DATA <= "000100001";
WHEN "000101011" => MEM_DATA <= "000100010"; 
WHEN "000101100" => MEM_DATA <= "000100010";
WHEN "000101101" => MEM_DATA <= "000100011";
WHEN "000101110" => MEM_DATA <= "000100100";
WHEN "000101111" => MEM_DATA <= "000100101";
WHEN "000110000" => MEM_DATA <= "000100101"; 
WHEN "000110001" => MEM_DATA <= "000100110";
WHEN "000110010" => MEM_DATA <= "000100111";
WHEN "000110011" => MEM_DATA <= "000101000";
WHEN "000110100" => MEM_DATA <= "000101001";
WHEN "000110101" => MEM_DATA <= "000101001"; 
WHEN "000110110" => MEM_DATA <= "000101010";
WHEN "000110111" => MEM_DATA <= "000101011";
WHEN "000111000" => MEM_DATA <= "000101100";
WHEN "000111001" => MEM_DATA <= "000101100";
WHEN "000111010" => MEM_DATA <= "000101101"; 
WHEN "000111011" => MEM_DATA <= "000101110";
WHEN "000111100" => MEM_DATA <= "000101111";
WHEN "000111101" => MEM_DATA <= "000110000";
WHEN "000111110" => MEM_DATA <= "000110000";
WHEN "000111111" => MEM_DATA <= "000110001"; 
WHEN "001000000" => MEM_DATA <= "000110010";
WHEN "001000001" => MEM_DATA <= "000110011";
WHEN "001000010" => MEM_DATA <= "000110011";
WHEN "001000011" => MEM_DATA <= "000110100";
WHEN "001000100" => MEM_DATA <= "000110101"; 
WHEN "001000101" => MEM_DATA <= "000110110";
WHEN "001000110" => MEM_DATA <= "000110110";
WHEN "001000111" => MEM_DATA <= "000110111";
WHEN "001001000" => MEM_DATA <= "000111000";
WHEN "001001001" => MEM_DATA <= "000111001"; 
WHEN "001001010" => MEM_DATA <= "000111010";
WHEN "001001011" => MEM_DATA <= "000111010";
WHEN "001001100" => MEM_DATA <= "000111011";
WHEN "001001101" => MEM_DATA <= "000111100";
WHEN "001001110" => MEM_DATA <= "000111101"; 
WHEN "001001111" => MEM_DATA <= "000111101";
WHEN "001010000" => MEM_DATA <= "000111110";
WHEN "001010001" => MEM_DATA <= "000111111";
WHEN "001010010" => MEM_DATA <= "001000000";
WHEN "001010011" => MEM_DATA <= "001000000"; 
WHEN "001010100" => MEM_DATA <= "001000001";
WHEN "001010101" => MEM_DATA <= "001000010";
WHEN "001010110" => MEM_DATA <= "001000011";
WHEN "001010111" => MEM_DATA <= "001000011";
WHEN "001011000" => MEM_DATA <= "001000100"; 
WHEN "001011001" => MEM_DATA <= "001000101";
WHEN "001011010" => MEM_DATA <= "001000110";
WHEN "001011011" => MEM_DATA <= "001000110";
WHEN "001011100" => MEM_DATA <= "001000111";
WHEN "001011101" => MEM_DATA <= "001001000";
WHEN "001011110" => MEM_DATA <= "001001001";
WHEN "001011111" => MEM_DATA <= "001001001";
WHEN "001100000" => MEM_DATA <= "001001010";
WHEN "001100001" => MEM_DATA <= "001001011";
WHEN "001100010" => MEM_DATA <= "001001100"; 
WHEN "001100011" => MEM_DATA <= "001001100";
WHEN "001100100" => MEM_DATA <= "001001101";
WHEN "001100101" => MEM_DATA <= "001001110";
WHEN "001100110" => MEM_DATA <= "001001111";
WHEN "001100111" => MEM_DATA <= "001001111"; 
WHEN "001101000" => MEM_DATA <= "001010000";
WHEN "001101001" => MEM_DATA <= "001010001";
WHEN "001101010" => MEM_DATA <= "001010010";
WHEN "001101011" => MEM_DATA <= "001010010";
WHEN "001101100" => MEM_DATA <= "001010011"; 
WHEN "001101101" => MEM_DATA <= "001010100";
WHEN "001101110" => MEM_DATA <= "001010101";
WHEN "001101111" => MEM_DATA <= "001010101";
WHEN "001110000" => MEM_DATA <= "001010110";
WHEN "001110001" => MEM_DATA <= "001010111"; 
WHEN "001110010" => MEM_DATA <= "001011000";
WHEN "001110011" => MEM_DATA <= "001011000";
WHEN "001110100" => MEM_DATA <= "001011001";
WHEN "001110101" => MEM_DATA <= "001011010";
WHEN "001110110" => MEM_DATA <= "001011010"; 
WHEN "001110111" => MEM_DATA <= "001011011";
WHEN "001111000" => MEM_DATA <= "001011100";
WHEN "001111001" => MEM_DATA <= "001011101";
WHEN "001111010" => MEM_DATA <= "001011101";
WHEN "001111011" => MEM_DATA <= "001011110"; 
WHEN "001111100" => MEM_DATA <= "001011111";
WHEN "001111101" => MEM_DATA <= "001100000";
WHEN "001111110" => MEM_DATA <= "001100000";
WHEN "001111111" => MEM_DATA <= "001100001";
WHEN "010000000" => MEM_DATA <= "001100010"; 
WHEN "010000001" => MEM_DATA <= "001100010";
WHEN "010000010" => MEM_DATA <= "001100011";
WHEN "010000011" => MEM_DATA <= "001100100"; 
WHEN "010000100" => MEM_DATA <= "001100101";
WHEN "010000101" => MEM_DATA <= "001100101"; 
WHEN "010000110" => MEM_DATA <= "001100110";
WHEN "010000111" => MEM_DATA <= "001100111";
WHEN "010001000" => MEM_DATA <= "001101000";
WHEN "010001001" => MEM_DATA <= "001101000";
WHEN "010001010" => MEM_DATA <= "001101001"; 
WHEN "010001011" => MEM_DATA <= "001101010";
WHEN "010001100" => MEM_DATA <= "001101010";
WHEN "010001101" => MEM_DATA <= "001101011";
WHEN "010001110" => MEM_DATA <= "001101100";
WHEN "010001111" => MEM_DATA <= "001101101"; 
WHEN "010010000" => MEM_DATA <= "001101101";
WHEN "010010001" => MEM_DATA <= "001101110";
WHEN "010010010" => MEM_DATA <= "001101111";
WHEN "010010011" => MEM_DATA <= "001101111";
WHEN "010010100" => MEM_DATA <= "001110000"; 
WHEN "010010101" => MEM_DATA <= "001110001";
WHEN "010010110" => MEM_DATA <= "001110001";
WHEN "010010111" => MEM_DATA <= "001110010";
WHEN "010011000" => MEM_DATA <= "001110011";
WHEN "010011001" => MEM_DATA <= "001110100"; 
WHEN "010011010" => MEM_DATA <= "001110100";
WHEN "010011011" => MEM_DATA <= "001110101";
WHEN "010011100" => MEM_DATA <= "001110110";
WHEN "010011101" => MEM_DATA <= "001110110";
WHEN "010011110" => MEM_DATA <= "001110111"; 
WHEN "010011111" => MEM_DATA <= "001111000";
WHEN "010100000" => MEM_DATA <= "001111000";
WHEN "010100001" => MEM_DATA <= "001111001";
WHEN "010100010" => MEM_DATA <= "001111010";
WHEN "010100011" => MEM_DATA <= "001111010"; 
WHEN "010100100" => MEM_DATA <= "001111011";
WHEN "010100101" => MEM_DATA <= "001111100";
WHEN "010100110" => MEM_DATA <= "001111101";
WHEN "010100111" => MEM_DATA <= "001111101";
WHEN "010101000" => MEM_DATA <= "001111110"; 
WHEN "010101001" => MEM_DATA <= "001111111";
WHEN "010101010" => MEM_DATA <= "001111111";
WHEN "010101011" => MEM_DATA <= "010000000";
WHEN "010101100" => MEM_DATA <= "010000001";
WHEN "010101101" => MEM_DATA <= "010000001"; 
WHEN "010101110" => MEM_DATA <= "010000010";
WHEN "010101111" => MEM_DATA <= "010000011"; 
WHEN "010110000" => MEM_DATA <= "010000011";
WHEN "010110001" => MEM_DATA <= "010000100";
WHEN "010110010" => MEM_DATA <= "010000101";
WHEN "010110011" => MEM_DATA <= "010000101";
WHEN "010110100" => MEM_DATA <= "010000110";
WHEN "010110101" => MEM_DATA <= "010000111";
WHEN "010110110" => MEM_DATA <= "010000111";
WHEN "010110111" => MEM_DATA <= "010001000";
WHEN "010111000" => MEM_DATA <= "010001001";
WHEN "010111001" => MEM_DATA <= "010001001";
WHEN "010111010" => MEM_DATA <= "010001010";
WHEN "010111011" => MEM_DATA <= "010001011";
WHEN "010111100" => MEM_DATA <= "010001011"; 
WHEN "010111101" => MEM_DATA <= "010001100";
WHEN "010111110" => MEM_DATA <= "010001101";
WHEN "010111111" => MEM_DATA <= "010001101";
WHEN "011000000" => MEM_DATA <= "010001110";
WHEN "011000001" => MEM_DATA <= "010001111"; 
WHEN "011000010" => MEM_DATA <= "010001111";
WHEN "011000011" => MEM_DATA <= "010010000";
WHEN "011000100" => MEM_DATA <= "010010001";
WHEN "011000101" => MEM_DATA <= "010010001";
WHEN "011000110" => MEM_DATA <= "010010010"; 
WHEN "011000111" => MEM_DATA <= "010010010";
WHEN "011001000" => MEM_DATA <= "010010011";
WHEN "011001001" => MEM_DATA <= "010010100";
WHEN "011001010" => MEM_DATA <= "010010100";
WHEN "011001011" => MEM_DATA <= "010010101"; 
WHEN "011001100" => MEM_DATA <= "010010110";
WHEN "011001101" => MEM_DATA <= "010010110";
WHEN "011001110" => MEM_DATA <= "010010111";
WHEN "011001111" => MEM_DATA <= "010011000";
WHEN "011010000" => MEM_DATA <= "010011000"; 
WHEN "011010001" => MEM_DATA <= "010011001";
WHEN "011010010" => MEM_DATA <= "010011001";
WHEN "011010011" => MEM_DATA <= "010011010";
WHEN "011010100" => MEM_DATA <= "010011011";
WHEN "011010101" => MEM_DATA <= "010011011"; 
WHEN "011010110" => MEM_DATA <= "010011100";
WHEN "011010111" => MEM_DATA <= "010011101";
WHEN "011011000" => MEM_DATA <= "010011101";
WHEN "011011001" => MEM_DATA <= "010011110";
WHEN "011011010" => MEM_DATA <= "010011110"; 
WHEN "011011011" => MEM_DATA <= "010011111";
WHEN "011011100" => MEM_DATA <= "010100000";
WHEN "011011101" => MEM_DATA <= "010100000";
WHEN "011011110" => MEM_DATA <= "010100001";
WHEN "011011111" => MEM_DATA <= "010100001"; 
WHEN "011100000" => MEM_DATA <= "010100010";
WHEN "011100001" => MEM_DATA <= "010100011";
WHEN "011100010" => MEM_DATA <= "010100011";
WHEN "011100011" => MEM_DATA <= "010100100";
WHEN "011100100" => MEM_DATA <= "010100100"; 
WHEN "011100101" => MEM_DATA <= "010100101";
WHEN "011100110" => MEM_DATA <= "010100110";
WHEN "011100111" => MEM_DATA <= "010100110";
WHEN "011101000" => MEM_DATA <= "010100111";
WHEN "011101001" => MEM_DATA <= "010100111";  
WHEN "011101010" => MEM_DATA <= "010101000"; 
WHEN "011101011" => MEM_DATA <= "010101001";
WHEN "011101100" => MEM_DATA <= "010101001";
WHEN "011101101" => MEM_DATA <= "010101010";
WHEN "011101110" => MEM_DATA <= "010101010"; 

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -