⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 sinrom.vhd

📁 低频数字相位测量仪仿真的软件部分
💻 VHD
📖 第 1 页 / 共 2 页
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity sinrom is
	port(	
		 address:     in std_logic_vector(8 downto 0);	
		 Data:    out integer range 0 to 255
		 );
end;

architecture one of sinrom is	
signal DAOUT: integer range 0 to 255;	  
begin
	process(Address)
	begin	
		case Address is
                    when "000000000" => DAOUT <= 16#7F#;
                    when "000000001" => DAOUT <= 16#81#;
                    when "000000010" => DAOUT <= 16#82#;
                    when "000000011" => DAOUT <= 16#84#;
                    when "000000100" => DAOUT <= 16#85#;
                    when "000000101" => DAOUT <= 16#87#;
                    when "000000110" => DAOUT <= 16#88#;
                    when "000000111" => DAOUT <= 16#8A#;
                    when "000001000" => DAOUT <= 16#8B#;
                    when "000001001" => DAOUT <= 16#8D#;
                    when "000001010" => DAOUT <= 16#8F#;
                    when "000001011" => DAOUT <= 16#90#;
                    when "000001100" => DAOUT <= 16#92#;
                    when "000001101" => DAOUT <= 16#93#;
                    when "000001110" => DAOUT <= 16#95#;
                    when "000001111" => DAOUT <= 16#96#;
                    when "000010000" => DAOUT <= 16#98#;
                    when "000010001" => DAOUT <= 16#99#;
                    when "000010010" => DAOUT <= 16#9B#;
                    when "000010011" => DAOUT <= 16#9C#;
                    when "000010100" => DAOUT <= 16#9E#;
                    when "000010101" => DAOUT <= 16#9F#;
                    when "000010110" => DAOUT <= 16#A1#;
                    when "000010111" => DAOUT <= 16#A3#;
                    when "000011000" => DAOUT <= 16#A4#;
                    when "000011001" => DAOUT <= 16#A6#;
                    when "000011010" => DAOUT <= 16#A7#;
                    when "000011011" => DAOUT <= 16#A8#;
                    when "000011100" => DAOUT <= 16#AA#;
                    when "000011101" => DAOUT <= 16#AB#;
                    when "000011110" => DAOUT <= 16#AD#;
                    when "000011111" => DAOUT <= 16#AE#;
                    when "000100000" => DAOUT <= 16#B0#;
                    when "000100001" => DAOUT <= 16#B1#;
                    when "000100010" => DAOUT <= 16#B3#;
                    when "000100011" => DAOUT <= 16#B4#;
                    when "000100100" => DAOUT <= 16#B6#;
                    when "000100101" => DAOUT <= 16#B7#;
                    when "000100110" => DAOUT <= 16#B8#;
                    when "000100111" => DAOUT <= 16#BA#;
                    when "000101000" => DAOUT <= 16#BB#;
                    when "000101001" => DAOUT <= 16#BC#;
                    when "000101010" => DAOUT <= 16#BE#;
                    when "000101011" => DAOUT <= 16#BF#;
                    when "000101100" => DAOUT <= 16#C1#;
                    when "000101101" => DAOUT <= 16#C2#;
                    when "000101110" => DAOUT <= 16#C3#;
                    when "000101111" => DAOUT <= 16#C5#;
                    when "000110000" => DAOUT <= 16#C6#;
                    when "000110001" => DAOUT <= 16#C7#;
                    when "000110010" => DAOUT <= 16#C8#;
                    when "000110011" => DAOUT <= 16#CA#;
                    when "000110100" => DAOUT <= 16#CB#;
                    when "000110101" => DAOUT <= 16#CC#;
                    when "000110110" => DAOUT <= 16#CD#;
                    when "000110111" => DAOUT <= 16#CF#;
                    when "000111000" => DAOUT <= 16#D0#;
                    when "000111001" => DAOUT <= 16#D1#;
                    when "000111010" => DAOUT <= 16#D2#;
                    when "000111011" => DAOUT <= 16#D3#;
                    when "000111100" => DAOUT <= 16#D5#;
                    when "000111101" => DAOUT <= 16#D6#;
                    when "000111110" => DAOUT <= 16#D7#;
                    when "000111111" => DAOUT <= 16#D8#;
                    when "001000000" => DAOUT <= 16#D9#;
                    when "001000001" => DAOUT <= 16#DA#;
                    when "001000010" => DAOUT <= 16#DB#;
                    when "001000011" => DAOUT <= 16#DC#;
                    when "001000100" => DAOUT <= 16#DD#;
                    when "001000101" => DAOUT <= 16#DF#;
                    when "001000110" => DAOUT <= 16#E0#;
                    when "001000111" => DAOUT <= 16#E1#;
                    when "001001000" => DAOUT <= 16#E2#;
                    when "001001001" => DAOUT <= 16#E3#;
                    when "001001010" => DAOUT <= 16#E4#;
                    when "001001011" => DAOUT <= 16#E4#;
                    when "001001100" => DAOUT <= 16#E5#;
                    when "001001101" => DAOUT <= 16#E6#;
                    when "001001110" => DAOUT <= 16#E7#;
                    when "001001111" => DAOUT <= 16#E8#;
                    when "001010000" => DAOUT <= 16#E9#;
                    when "001010001" => DAOUT <= 16#EA#;
                    when "001010010" => DAOUT <= 16#EB#;
                    when "001010011" => DAOUT <= 16#EC#;
                    when "001010100" => DAOUT <= 16#EC#;
                    when "001010101" => DAOUT <= 16#ED#;
                    when "001010110" => DAOUT <= 16#EE#;
                    when "001010111" => DAOUT <= 16#EF#;
                    when "001011000" => DAOUT <= 16#EF#;
                    when "001011001" => DAOUT <= 16#F0#;
                    when "001011010" => DAOUT <= 16#F1#;
                    when "001011011" => DAOUT <= 16#F2#;
                    when "001011100" => DAOUT <= 16#F2#;
                    when "001011101" => DAOUT <= 16#F3#;
                    when "001011110" => DAOUT <= 16#F4#;
                    when "001011111" => DAOUT <= 16#F4#;
                    when "001100000" => DAOUT <= 16#F5#;
                    when "001100001" => DAOUT <= 16#F5#;
                    when "001100010" => DAOUT <= 16#F6#;
                    when "001100011" => DAOUT <= 16#F7#;
                    when "001100100" => DAOUT <= 16#F7#;
                    when "001100101" => DAOUT <= 16#F8#;
                    when "001100110" => DAOUT <= 16#F8#;
                    when "001100111" => DAOUT <= 16#F9#;
                    when "001101000" => DAOUT <= 16#F9#;
                    when "001101001" => DAOUT <= 16#F9#;
                    when "001101010" => DAOUT <= 16#FA#;
                    when "001101011" => DAOUT <= 16#FA#;
                    when "001101100" => DAOUT <= 16#FB#;
                    when "001101101" => DAOUT <= 16#FB#;
                    when "001101110" => DAOUT <= 16#FB#;
                    when "001101111" => DAOUT <= 16#FC#;
                    when "001110000" => DAOUT <= 16#FC#;
                    when "001110001" => DAOUT <= 16#FC#;
                    when "001110010" => DAOUT <= 16#FD#;
                    when "001110011" => DAOUT <= 16#FD#;
                    when "001110100" => DAOUT <= 16#FD#;
                    when "001110101" => DAOUT <= 16#FD#;
                    when "001110110" => DAOUT <= 16#FE#;
                    when "001110111" => DAOUT <= 16#FE#;
                    when "001111000" => DAOUT <= 16#FE#;
                    when "001111001" => DAOUT <= 16#FE#;
                    when "001111010" => DAOUT <= 16#FE#;
                    when "001111011" => DAOUT <= 16#FE#;
                    when "001111100" => DAOUT <= 16#FE#;
                    when "001111101" => DAOUT <= 16#FE#;
                    when "001111110" => DAOUT <= 16#FE#;
                    when "001111111" => DAOUT <= 16#FE#;
                    when "010000000" => DAOUT <= 16#FF#;
                    when "010000001" => DAOUT <= 16#FE#;
                    when "010000010" => DAOUT <= 16#FE#;
                    when "010000011" => DAOUT <= 16#FE#;
                    when "010000100" => DAOUT <= 16#FE#;
                    when "010000101" => DAOUT <= 16#FE#;
                    when "010000110" => DAOUT <= 16#FE#;
                    when "010000111" => DAOUT <= 16#FE#;
                    when "010001000" => DAOUT <= 16#FE#;
                    when "010001001" => DAOUT <= 16#FE#;
                    when "010001010" => DAOUT <= 16#FE#;
                    when "010001011" => DAOUT <= 16#FD#;
                    when "010001100" => DAOUT <= 16#FD#;
                    when "010001101" => DAOUT <= 16#FD#;
                    when "010001110" => DAOUT <= 16#FD#;
                    when "010001111" => DAOUT <= 16#FC#;
                    when "010010000" => DAOUT <= 16#FC#;
                    when "010010001" => DAOUT <= 16#FC#;
                    when "010010010" => DAOUT <= 16#FB#;
                    when "010010011" => DAOUT <= 16#FB#;
                    when "010010100" => DAOUT <= 16#FB#;
                    when "010010101" => DAOUT <= 16#FA#;
                    when "010010110" => DAOUT <= 16#FA#;
                    when "010010111" => DAOUT <= 16#F9#;
                    when "010011000" => DAOUT <= 16#F9#;
                    when "010011001" => DAOUT <= 16#F9#;
                    when "010011010" => DAOUT <= 16#F8#;
                    when "010011011" => DAOUT <= 16#F8#;
                    when "010011100" => DAOUT <= 16#F7#;
                    when "010011101" => DAOUT <= 16#F7#;
                    when "010011110" => DAOUT <= 16#F6#;
                    when "010011111" => DAOUT <= 16#F5#;
                    when "010100000" => DAOUT <= 16#F5#;
                    when "010100001" => DAOUT <= 16#F4#;
                    when "010100010" => DAOUT <= 16#F4#;
                    when "010100011" => DAOUT <= 16#F3#;
                    when "010100100" => DAOUT <= 16#F2#;
                    when "010100101" => DAOUT <= 16#F2#;
                    when "010100110" => DAOUT <= 16#F1#;
                    when "010100111" => DAOUT <= 16#F0#;
                    when "010101000" => DAOUT <= 16#EF#;
                    when "010101001" => DAOUT <= 16#EF#;
                    when "010101010" => DAOUT <= 16#EE#;
                    when "010101011" => DAOUT <= 16#ED#;
                    when "010101100" => DAOUT <= 16#EC#;
                    when "010101101" => DAOUT <= 16#EC#;
                    when "010101110" => DAOUT <= 16#EB#;
                    when "010101111" => DAOUT <= 16#EA#;
                    when "010110000" => DAOUT <= 16#E9#;
                    when "010110001" => DAOUT <= 16#E8#;
                    when "010110010" => DAOUT <= 16#E7#;
                    when "010110011" => DAOUT <= 16#E6#;
                    when "010110100" => DAOUT <= 16#E5#;
                    when "010110101" => DAOUT <= 16#E4#;
                    when "010110110" => DAOUT <= 16#E4#;
                    when "010110111" => DAOUT <= 16#E3#;
                    when "010111000" => DAOUT <= 16#E2#;
                    when "010111001" => DAOUT <= 16#E1#;
                    when "010111010" => DAOUT <= 16#E0#;
                    when "010111011" => DAOUT <= 16#DF#;
                    when "010111100" => DAOUT <= 16#DD#;
                    when "010111101" => DAOUT <= 16#DC#;
                    when "010111110" => DAOUT <= 16#DB#;
                    when "010111111" => DAOUT <= 16#DA#;
                    when "011000000" => DAOUT <= 16#D9#;
                    when "011000001" => DAOUT <= 16#D8#;
                    when "011000010" => DAOUT <= 16#D7#;
                    when "011000011" => DAOUT <= 16#D6#;
                    when "011000100" => DAOUT <= 16#D5#;
                    when "011000101" => DAOUT <= 16#D3#;
                    when "011000110" => DAOUT <= 16#D2#;
                    when "011000111" => DAOUT <= 16#D1#;
                    when "011001000" => DAOUT <= 16#D0#;
                    when "011001001" => DAOUT <= 16#CF#;
                    when "011001010" => DAOUT <= 16#CD#;
                    when "011001011" => DAOUT <= 16#CC#;
                    when "011001100" => DAOUT <= 16#CB#;
                    when "011001101" => DAOUT <= 16#CA#;
                    when "011001110" => DAOUT <= 16#C8#;
                    when "011001111" => DAOUT <= 16#C7#;
                    when "011010000" => DAOUT <= 16#C6#;
                    when "011010001" => DAOUT <= 16#C5#;
                    when "011010010" => DAOUT <= 16#C3#;
                    when "011010011" => DAOUT <= 16#C2#;
                    when "011010100" => DAOUT <= 16#C1#;
                    when "011010101" => DAOUT <= 16#BF#;
                    when "011010110" => DAOUT <= 16#BE#;
                    when "011010111" => DAOUT <= 16#BC#;
                    when "011011000" => DAOUT <= 16#BB#;
                    when "011011001" => DAOUT <= 16#BA#;
                    when "011011010" => DAOUT <= 16#B8#;
                    when "011011011" => DAOUT <= 16#B7#;
                    when "011011100" => DAOUT <= 16#B6#;
                    when "011011101" => DAOUT <= 16#B4#;
                    when "011011110" => DAOUT <= 16#B3#;
                    when "011011111" => DAOUT <= 16#B1#;
                    when "011100000" => DAOUT <= 16#B0#;
                    when "011100001" => DAOUT <= 16#AE#;
                    when "011100010" => DAOUT <= 16#AD#;
                    when "011100011" => DAOUT <= 16#AB#;
                    when "011100100" => DAOUT <= 16#AA#;
                    when "011100101" => DAOUT <= 16#A8#;
                    when "011100110" => DAOUT <= 16#A7#;
                    when "011100111" => DAOUT <= 16#A6#;
                    when "011101000" => DAOUT <= 16#A4#;
                    when "011101001" => DAOUT <= 16#A3#;
                    when "011101010" => DAOUT <= 16#A1#;
                    when "011101011" => DAOUT <= 16#9F#;
                    when "011101100" => DAOUT <= 16#9E#;
                    when "011101101" => DAOUT <= 16#9C#;
                    when "011101110" => DAOUT <= 16#9B#;
                    when "011101111" => DAOUT <= 16#99#;
                    when "011110000" => DAOUT <= 16#98#;
                    when "011110001" => DAOUT <= 16#96#;
                    when "011110010" => DAOUT <= 16#95#;
                    when "011110011" => DAOUT <= 16#93#;
                    when "011110100" => DAOUT <= 16#92#;
                    when "011110101" => DAOUT <= 16#90#;
                    when "011110110" => DAOUT <= 16#8F#;
                    when "011110111" => DAOUT <= 16#8D#;
                    when "011111000" => DAOUT <= 16#8B#;
                    when "011111001" => DAOUT <= 16#8A#;
                    when "011111010" => DAOUT <= 16#88#;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -