⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 mux3.vhd

📁 vhdl code for GIF Image Viewer
💻 VHD
字号:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity mux3 is
	 Generic ( size : integer := 8 );
    Port ( d0 : in std_logic_vector(size-1 downto 0);
           d1 : in std_logic_vector(size-1 downto 0);
			  d2 : in std_logic_vector(size-1 downto 0);
           s : in std_logic_vector(1 downto 0);
           o : out std_logic_vector(size-1 downto 0));
end mux3;

architecture Behavioral of mux3 is
begin	
PROCESS (s, d0, d1, d2)
begin
IF (s = "00") THEN o <= d0;
ELSIF (s = "01") THEN o <= d1;
ELSE (s = "10") o <= d2; 
END IF;
END PROCESS;
end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -