📄 decoderbcd_dot.vhd
字号:
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity decoderbcddot is Port ( I : in STD_LOGIC_VECTOR (3 downto 0); segs : out STD_LOGIC_VECTOR (7 downto 0));end decoderbcddot;architecture Behavioral of decoderbcddot isbegin with I select Segs <= "01000000" WHEN "0000" , "01111001" WHEN "0001" , "00100100" WHEN "0010" , "00110000" WHEN "0011" , "00011001" WHEN "0100" , "00010010" WHEN "0101" , "00000010" WHEN "0110" , "01111000" WHEN "0111" , "00000000" WHEN "1000" , "00010000" WHEN "1001" , "01000000" WHEN OTHERS ;end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -