⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 displayh.vhd

📁 在 Quartus II 7.1平台下
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity displayh is
  port(datainh:in std_logic_vector(3 downto 0);      --连接segmain扫描部分信号
		hdata_out:out std_logic_vector(6 downto 0);--输出段选
		zero:out std_logic_vector(1 downto 0));  
		
end displayh;
architecture playh of displayh is
  begin
    process(datainh) is
		begin
		case datainh is
		--when "0000"=>hdata_out<="1000000";  --显示0
		--when "0001"=>hdata_out<="1111001";  --显示1  
		--when "0010"=>hdata_out<="0100100";  --显示2
		--when "0011"=>hdata_out<="0110000";  --显示3
		--when "0100"=>hdata_out<="0011001";  --显示4
		--when "0101"=>hdata_out<="0010010";  --显示5
		--when "0110"=>hdata_out<="0000010";  --显示6
		--when "0111"=>hdata_out<="1111000";  --显示7
		--when "1000"=>hdata_out<="0000000";  --显示8
		--when others=>hdata_out<="0010000";  --显示9
		when "0000"=>hdata_out<="1000000";  --显示0
		when "0001"=>hdata_out<="1001111";  --显示1  
		when "0010"=>hdata_out<="0100100";  --显示2
		when "0011"=>hdata_out<="0000110";  --显示3
		when "0100"=>hdata_out<="0001011";  --显示4
		when "0101"=>hdata_out<="0010010";  --显示5
		when "0110"=>hdata_out<="0010000";  --显示6
		when "0111"=>hdata_out<="1000111";  --显示7
		when "1000"=>hdata_out<="0000000";  --显示8
		when others=>hdata_out<="0000010";  --显示9
		end case;
		zero<="11";
	end process;
end architecture playh;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -