📄 xuanze.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith;
entity xuanze is
port(xinhao1: in std_logic;
xinhao2: in std_logic;
kongzhi: in std_logic;
input: in std_logic;
xinhao: out std_logic;
output: out std_logic);
end xuanze;
architecture a of xuanze is
begin
process(kongzhi,input)
begin
if(input='1') then xinhao<='0';
elsif(kongzhi='1') then xinhao<=xinhao2;
output<='1';
else xinhao<=xinhao1;
output<='0';
end if;
end process;
end a;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -