⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 liner_adder_unit.vhd

📁 为了给大家紧张的工作减轻点负担
💻 VHD
字号:
--线性进位加法器单元
library ieee;
use ieee.std_logic_1164.all;
use work.all;
entity liner_adder_unit is
	port (A: in std_logic_vector(6 downto 0);
		  B: in std_logic_vector(6 downto 0);
		 sum0,sum1:out std_logic_vector(6 downto 0);
		 co0,co1:out std_logic
		);
end liner_adder_unit ;

architecture behav of liner_adder_unit is
signal ct0,ct1:std_logic_vector(7 downto 0);
component fulladd is
	port (A: in std_logic;
		  B: in std_logic;
		 cin:in std_logic;
		 sumbit:out std_logic;
		cout:out std_logic
		);
end component;
begin
ct0(0)<='0';
ct1(0)<='1';
G1: for i in 0 to 6 generate
	l1:fulladd port map (A(i),B(i),ct0(i),sum0(i),ct0(i+1));
	l2:fulladd port map (A(i),B(i),ct1(i),sum1(i),ct1(i+1));
end generate G1;
co0<=ct0(7);
co1<=ct1(7);
end behav;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -