⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 csa_float_multiplier.src

📁 新型的浮点乘法器 用csa来实现可以用在浮点乘法器的地方
💻 SRC
字号:
  read -format verilog /home/iroi/csa_float_multiplier/multiply_24bit.v  read -format verilog /home/iroi/csa_float_multiplier/csa_mult_8m24.v  read -format verilog /home/iroi/csa_float_multiplier/exp_reg.v  read -format verilog /home/iroi/csa_float_multiplier/csa_float_multiplier.v  read -format verilog /home/iroi/csa_float_multiplier/mul_normalizer.v  read -format verilog /home/iroi/csa_float_multiplier/selector.v  read -format verilog /home/iroi/csa_float_multiplier/sign_reg.v  read -format verilog /home/iroi/csa_float_multiplier/zero_reg.v  current_design csa_float_multiplier  check_design  link  reset_design  set_operating_conditions slow  set_wire_load_mode top  create_clock -p 4.5 -name clk find(port,clk)  set_clock_latency 2.25 find(port,clk)  set_clock_uncertainty 0.10 find(port,clk)  set_max_area 0.0  set_input_delay 0.8 -clock clk  all_inputs()  set_output_delay 0.8  -clock clk all_outputs()  compile  report_constraints -all_violators  report_timing -delay max  report_timing -delay min  write -format verilog -hierarchy -output /home/iroi/csa_float_multiplier/csa_float_multiplier_dc.v  write_sdf -version 2.1 /home/iroi/csa_float_multiplier/csa_float_multiplier_dc.sdf

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -