📄 fun_sam.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--use work.my_pac.all;
------------------------------
------------------------------
PACKAGE my_pac IS
FUNCTION fun_sample(p_baud8x:std_logic;p_rxd:std_logic;p_recstart:std_logic) return std_logic;
end my_pac;
signal times : std_logic_vector(1 DOWNTO 0);
signal values : std_logic_vector(2 DOWNTO 0);
PACKAGE body my_pac IS
FUNCTION fun_sample(p_baud8x:std_logic;p_rxd:std_logic;p_recstart:std_logic) return std_logic is
begin
------------------------------
process(p_baud8x)
begin
IF(clkbaud8x'EVENT AND clkbaud8x = '1') THEN
END if;
end process;
------------------------------
return '1';
END fun_sample;
end my_pac;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -