📄 bin2gra_tb.tf
字号:
module testbench();
// Inputs
reg [7:0] Bin;
// Outputs
wire [7:0] Gry;
// Instantiate the UUT
bin2gra uut (.Gry(Gry), .Bin(Bin));
reg [7:0] i;
// Initialize Inputs
initial
$monitor ($time, "Bin=%b, Gry=%b", Bin, Gry);
initial //Initialize input signals
Bin =8'b00000000;
initial
for (i=0; i<256; i=i+1)
#10 Bin = Bin+1;
initial #2560 $finish; //Complete simulation after 2560 units
endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -