📄 00620.html
字号:
<div class="Element207">
defparam_assignment ::= hierarchical_parameter_identifier = constant_mintypmax_expression<br>net_decl_assignment ::= net_identifier { unpacked_dimension } [ = expression ]<br>param_assignment ::= parameter_identifier { unpacked_dimension } = constant_param_expression<br>specparam_assignment ::=<br>specparam_identifier = constant_mintypmax_expression<br>| pulse_control_specparam<br>type_assignment ::= type_identifier = data_type<br>pulse_control_specparam ::=<br>PATHPULSE$ = ( reject_limit_value [ , error_limit_value ] ) ;<br>| PATHPULSE$specify_input_terminal_descriptor$specify_output_terminal_descriptor<br>= ( reject_limit_value [ , error_limit_value ] ) ;<br>error_limit_value ::= limit_value<br>reject_limit_value ::= limit_value<br>limit_value ::= constant_mintypmax_expression<br>variable_decl_assignment ::=<br>variable_identifier variable_dimension [ = expression ]<br>| dynamic_array_variable_identifier [ ] [ = dynamic_array_new ]<br>| class_variable_identifier [ = class_new ]<br>| [ covergroup_variable_identifier ] = new [ ( list_of_arguments ) ]16... <a href="00632.html" target="topic">more</a> </div></td></tr><tr>
<td class="Element202" valign="top" width="50%">
<div class="Element203">
<a href="00633.html" target="topic">A.2.5 Declaration ranges</a> </div></td><td class="Element206" valign="top" width="50%">
<div class="Element207">
unpacked_dimension ::= [ constant_range ]<br>| [ constant_expression ]<br>packed_dimension11 ::=<br>[ constant_range ]<br>| unsized_dimension<br>associative_dimension ::=<br>[ data_type ]<br>| [ * ]<br>variable_dimension12 ::=<br>{ sized_or_unsized_dimension }<br>| associative_dimension<br>| queue_dimension<br>queue_dimension ::= [ $ [ : constant_expression ] ]<br>unsized_dimension11 ::= [ ]<br>sized_or_unsized_dimension ::= unpacked_dimension | unsized_dimension </div></td></tr><tr>
<td class="Element202" valign="top" width="50%">
<div class="Element203">
<a href="00634.html" target="topic">A.2.6 Function declarations</a> </div></td><td class="Element206" valign="top" width="50%">
<div class="Element207">
function_data_type ::= data_type | void<br>function_data_type_or_implicit ::=<br>function_data_type<br>| [ signing ] { packed_dimension }<br>function_declaration ::= function [ lifetime ] function_body_declaration<br>function_body_declaration ::=<br>function_data_type_or_implicit<br>[ interface_identifier . | class_scope ] function_identifier ;<br>{ tf_item_declaration }<br>{ function_statement_or_null }<br>endfunction [ : function_identifier ]<br>| function_data_type_or_implicit<br>[ interface_identifier . | class_scope ] function_identifier ( [ tf_port_list ] ) ;<br>{ block_item_declaration }<br>{ function_statement_or_null }<br>endfunction [ : function_identifier ]<br>function_prototype ::= function function_data_type function_identifier ( [ tf_port_list ] )<br>dpi_import_export ::=<br>import "DPI" [ dpi_function_import_property ] [ c_identifier = ] dpi_function_proto ;<br>| import "DPI" [ dpi_task_import_property ] [... <a href="00634.html" target="topic">more</a> </div></td></tr><tr>
<td class="Element202" valign="top" width="50%">
<div class="Element203">
<a href="00635.html" target="topic">A.2.7 Task declarations</a> </div></td><td class="Element206" valign="top" width="50%">
<div class="Element207">
task_declaration ::= task [ lifetime ] task_body_declaration<br>task_body_declaration ::=<br>[ interface_identifier . | class_scope ] task_identifier ;<br>{ tf_item_declaration }<br>{ statement_or_null }<br>endtask [ : task_identifier ]<br>| [ interface_identifier . | class_scope ] task_identifier ( [ tf_port_list ] ) ;<br>{ block_item_declaration }<br>{ statement_or_null }<br>endtask [ : task_identifier ]<br>tf_item_declaration ::=<br>block_item_declaration<br>| tf_port_declaration<br>tf_port_list ::=<br>tf_port_item { , tf_port_item }<br>tf_port_item ::=<br>{ attribute_instance }<br>[ tf_port_direction ] data_type_or_implicit<br>port_identifier variable_dimension [ = expression ]<br>tf_port_direction ::= port_direction | const ref<br>tf_port_declaration ::=<br>{ attribute_instance } tf_port_direction data_type_or_implicit list_of_tf_variable_identifiers ;<br>task_prototype ::= task task_identifier (... <a href="00635.html" target="topic">more</a> </div></td></tr><tr>
<td class="Element202" valign="top" width="50%">
<div class="Element203">
<a href="00636.html" target="topic">A.2.8 Block item declarations</a> </div></td><td class="Element206" valign="top" width="50%">
<div class="Element207">
block_item_declaration ::=<br>{ attribute_instance } data_declaration<br>| { attribute_instance } local_parameter_declaration<br>| { attribute_instance } parameter_declaration ;<br>| { attribute_instance } overload_declaration<br>overload_declaration ::=<br>bind overload_operator function data_type function_identifier ( overload_proto_formals ) ;<br>overload_operator ::= + | ++ | – | – – | * | ** | / | % | == | != | < | <= | > | >= | =<br>overload_proto_formals ::= data_type {, data_type} </div></td></tr><tr>
<td class="Element202" valign="top" width="50%">
<div class="Element203">
<a href="00637.html" target="topic">A.2.9 Interface declarations</a> </div></td><td class="Element206" valign="top" width="50%">
<div class="Element207">
virtual_interface_declaration ::=<br>virtual [ interface ] interface_identifier list_of_virtual_interface_decl ;<br>modport_declaration ::= modport modport_item { , modport_item } ;<br>modport_item ::= modport_identifier ( modport_ports_declaration { , modport_ports_declaration } )<br>modport_ports_declaration ::=<br>{ attribute_instance } modport_simple_ports_declaration<br>| { attribute_instance } modport_hierarchical_ports_declaration<br>| { attribute_instance } modport_tf_ports_declaration<br>| { attribute_instance } modport_clocking_declaration<br>modport_clocking_declaration ::= clocking clocking_identifier<br>modport_simple_ports_declaration ::=<br>port_direction modport_simple_port { , modport_simple_port }<br>modport_simple_port ::=<br>port_identifier<br>| . port_identifier ( [ expression ] )<br>modport_hierarchical_ports_declaration ::=<br>interface_instance_identifier [ [ constant_expression ] ] . modport_identifier<br>modport_tf_ports_declaration ::=<br>import_export modport_tf_port { , modport_tf_port }<br>modport_tf_port ::=<br>method_prototype<br>| tf_identifier<br>import_export ::= import | export... <a href="00637.html" target="topic">more</a> </div></td></tr><tr>
<td class="Element202" valign="top" width="50%">
<div class="Element203">
<a href="00625.html" target="topic">A.2.10 Assertion declarations</a> </div></td><td class="Element206" valign="top" width="50%">
<div class="Element207">
concurrent_assertion_item ::= [ block_identifier : ] concurrent_assertion_statement<br>concurrent_assertion_statement ::=<br>assert_property_statement<br>| assume_property_statement<br>| cover_property_statement<br>assert_property_statement::=<br>assert property ( property_spec ) action_block<br>assume_property_statement::=<br>assume property ( property_spec ) ;<br>cover_property_statement::=<br>cover property ( property_spec ) statement_or_null<br>expect_property_statement ::=<br>expect ( property_spec ) action_block<br>property_instance ::=<br>ps_property_identifier [ ( [ actual_arg_list ] ) ]<br>concurrent_assertion_item_declaration ::=<br>property_declaration<br>| sequence_declaration<br>property_declaration ::=<br>property property_identifier [ ( [ list_of_formals ] ) ] ;<br>{ assertion_variable_declaration }<br>property_spec ;<br>endproperty [ : property_identifier ]<br>property_spec ::=<br>[clocking_event ] [ disable iff ( expression_or_dist ) ] property_expr<br>property_expr ::=<br>sequence_expr<br>| ( property_expr )<br>| not... <a href="00625.html" target="topic">more</a> </div></td></tr><tr>
<td class="Element202" valign="top" width="50%">
<div class="Element203">
<a href="00626.html" target="topic">A.2.11 Covergroup declarations</a> </div></td><td class="Element206" valign="top" width="50%">
<div class="Element207">
covergroup_declaration ::=<br>covergroup covergroup_identifier [ ( [ tf_port_list ] ) ] [ coverage_event ] ;<br>{ coverage_spec_or_option ; }<br>endgroup [ : covergroup_identifier ]<br>coverage_spec_or_option ::=<br>{attribute_instance} coverage_spec<br>| {attribute_instance} coverage_option ;<br>coverage_option ::=<br>option.member_identifier = expression<br>| type_option.member_identifier = expression<br>coverage_spec ::=<br>cover_point<br>| cover_cross<br>coverage_event ::=<br>clocking_event<br>| @@( block_event_expression )<br>block_event_expression :: =<br>block_event_expression or block_event_expression<br>| begin hierarchical_btf_identifier<br>| end hierarchical_btf_identifier<br>hierarchical_btf_identifier :: =<br>hierarchical_tf_identifier<br>| hierarchical_block_identifier<br>| hierarchical _identifier [ class_scope ] method_identifier<br>cover_point ::= [ cover_point_identifer : ] coverpoint expression [ iff ( expression ) ] bins_or_empty<br>bins_or_empty ::=<br>{ {attribute_instance} { bins_or_options ;... <a href="00626.html" target="topic">more</a> </div></td></tr></table></div></div>
</div>
</div>
</div>
<a name="Links"></a><div class="Element14">
<a onclick="toggleVisibilityStored('链接');" class="a_Element14"><img src="sectionminus.png" border="0" alt="" title="" id="img链接">链接</a></div>
<div id="div链接">
<div class="Element11">
<div class="Element10">
<a href="00879.html" target="topic">附录A 形式语法</a>, <a href="01011.html" target="topic">主题</a></div>
</div>
</div>
</div>
<!-- End Page Content -->
<!-- Begin Page Footer -->
<hr width="98%" align="center" size="1" color="#CCCCCC" />
<table align="center" cellpadding="0" cellspacing="0" border="0">
<tbody>
<tr height="10">
<td></td>
</tr>
<tr align="center">
<td>
<script type="text/javascript"><!--
google_ad_client = "pub-5266859600380184";
google_ad_width = 468;
google_ad_height = 60;
google_ad_format = "468x60_as";
google_ad_type = "text_image";
google_ad_channel ="";
google_page_url = document.location;
//--></script>
<script type="text/javascript"
src="http://pagead2.googlesyndication.com/pagead/show_ads.js">
</script>
</td>
</tr>
<tr height="15">
<td></td>
</tr>
<tr align="center">
<td>
<font size=2>除非特别声明,原文版权归作者所有,如有转摘请注明原作者以及译者(<a href="http://www.fpgatech.net/" target="_blank">FPGA技术网</a>)信息。<br />
如果您对本主题有何建议或意见,请登陆<a href="http://www.fpgatech.net/forum/forumdisplay.php?fid=18" target="_blank">FPGA开发者家园</a>提交,您的参与是我们前进的动力。</font>
<script language="javascript" type="text/javascript" src="http://js.users.51.la/195685.js"></script>
<noscript><a href="http://www.51.la/?195685" target="_blank"><img alt="我要啦免费统计" src="http://img.users.51.la/195685.asp" style="border:none" /></a></noscript>
</td>
</tr>
</tbody>
</table>
<!-- End Page Footer -->
</div>
</div>
<!-- End Client Area -->
</body></html>
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -