⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 sele.vhdl

📁 相位差测试
💻 VHDL
字号:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity sele is
      port(se1,se10,se100:	in	std_logic;
	      f1hz,f10hz,f100hz:	in	std_logic;
		 fref:			out	std_logic;
		 dp1,dp2,dp3:		out	std_logic);
end sele;
architecture Behavioral of sele is
begin
  process (se1,se10,se100,f1hz,f10hz,f100hz)
   begin
      fref <= '0'; dp1 <= '0';dp2 <= '0';dp3 <= '0';
      if se1 = '1' then
	 fref <= f1hz;
	 dp1<= '1';
      elsif se10 = '1' then
	 fref <= f10hz;
	 dp2 <= '1';
      elsif se100 = '1' then
	 fref <= f100hz;
	 dp3 <='1';
      end if;
   end process;
end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -