📄 vhdl 计数器源程序.mht
字号:
<P>ELSE<BR>count_int <=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =
(count_int=3D"1001") THEN<BR>--count_int<=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count <=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q<=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q<=3Dq=20
1;<BR>--IF (q<=3D"1001") then<BR>--q<=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset<=3D'1')THEN<BR>--q<=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q<=3Dq =
'1';<BR>--end=20
if;<BR>--count<=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count <=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count <=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
<=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><STRONG>=BE=C5=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY ninecout =
IS<BR>PORT(clk,reset,enable : IN=20
std_logic; count : OUT std_logic_vector(3 downto 0));<BR>END=20
ninecout;<BR>ARCHITECTURE counter OF ninecout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
3);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int <=3D (OTHERS =
=3D>=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"1000")=20
THEN<BR>count_int<=3D"0000";</P>
<P>ELSE<BR>count_int <=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =
(count_int=3D"1001") THEN<BR>--count_int<=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count <=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q<=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q<=3Dq=20
1;<BR>--IF (q<=3D"1001") then<BR>--q<=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset<=3D'1')THEN<BR>--q<=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q<=3Dq =
'1';<BR>--end=20
if;<BR>--count<=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count <=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count <=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
<=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><STRONG>=B0=CB=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY eightcout =
IS<BR>PORT(clk,reset,enable :=20
IN std_logic; count : OUT std_logic_vector(2 downto 0));<BR>END=20
eightcout;<BR>ARCHITECTURE counter OF eightcout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
2);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int <=3D (OTHERS =
=3D>=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"111")=20
THEN<BR>count_int<=3D"000";</P>
<P>ELSE<BR>count_int <=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =
(count_int=3D"1001") THEN<BR>--count_int<=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count <=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q<=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q<=3Dq=20
1;<BR>--IF (q<=3D"1001") then<BR>--q<=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset<=3D'1')THEN<BR>--q<=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q<=3Dq =
'1';<BR>--end=20
if;<BR>--count<=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count <=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count <=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
<=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><BR><STRONG>=C1=F9=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY sixcout =
IS<BR>PORT(clk,reset,enable : IN=20
std_logic; count : OUT std_logic_vector(2 downto 0));<BR>END=20
sixcout;<BR>ARCHITECTURE counter OF sixcout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
2);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int <=3D (OTHERS =
=3D>=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"101")=20
THEN<BR>count_int<=3D"000";</P>
<P>ELSE<BR>count_int <=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =
(count_int=3D"1001") THEN<BR>--count_int<=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count <=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q<=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q<=3Dq=20
1;<BR>--IF (q<=3D"1001") then<BR>--q<=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset<=3D'1')THEN<BR>--q<=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q<=3Dq =
'1';<BR>--end=20
if;<BR>--count<=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count <=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count <=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
<=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><BR><STRONG>=CB=C4=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY fourcout =
IS<BR>PORT(clk,reset,enable : IN=20
std_logic; count : OUT std_logic_vector(1 downto 0));<BR>END=20
fourcout;<BR>ARCHITECTURE counter OF fourcout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
1);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int <=3D (OTHERS =
=3D>=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"11")=20
THEN<BR>count_int<=3D"00";<BR>ELSE<BR>count_int <=3D count_int=20
1;<BR>--ELSE<BR>-- NULL ;<BR>--IF (count_int=3D"1001")=20
THEN<BR>--count_int<=3D"0000";<BR>END IF;<BR>END IF;<BR>END =
PROCESS;<BR>count=20
<=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q<=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q<=3Dq=20
1;<BR>--IF (q<=3D"1001") then<BR>--q<=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset<=3D'1')THEN<BR>--q<=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q<=3Dq =
'1';<BR>--end=20
if;<BR>--count<=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count <=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count <=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
<=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END=20
counter;<BR></P>
<P class=3Dpageview><B></B></P></DIV><!--Zoom end -->
<DIV align=3Dright><A=20
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1031/937.html#top">=A1=FC=B7=
=B5=BB=D8=B6=A5=B2=BF</A> =20
<A onclick=3Dwindow.print();=20
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1031/937.html#">=B4=F2=D3=A1=
=B1=BE=D2=B3</A> <A=20
href=3D"javascript:bookmark()">=BC=D3=C8=EB=CA=D5=B2=D8=A1=FD</A> =
</DIV>
<DIV id=3Dcorrelative_a>
<H5>=CF=E0=B9=D8=CE=C4=D5=C2</H5>
<UL><!--=CF=D4=CA=BE=CF=E0=B9=D8=CE=C4=D5=C2-->
<LI>=A1=A4<A title=3D"8=CE=BB=D7=DC=CF=DF=CA=D5=B7=A2=C6=F774245 =
vhdl=D4=B4=B3=CC=D0=F2"=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1031/936.html">8=CE=BB=D7=DC=
=CF=DF=CA=D5=B7=A2=C6=F774245=20
vhdl=D4=B4=B3=CC=D0=F2</A>=20
<LI>=A1=A4<A title=3D"=B2=A2=B4=AE=D7=AA=BB=BB=D6=AEVHDL =
=D4=B4=B3=CC=D0=F2"=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1031/938.html">=B2=A2=B4=AE=
=D7=AA=BB=BB=D6=AEVHDL =D4=B4=B3=CC=D0=F2</A>=20
<LI>=A1=A4<A title=3D"=C8=FD=C8=CB=B1=ED=BE=F6=C6=F7 =
vhdl=D4=B4=B3=CC=D0=F2"=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1031/939.html">=C8=FD=C8=CB=
=B1=ED=BE=F6=C6=F7 vhdl=D4=B4=B3=CC=D0=F2</A>=20
<LI>=A1=A4<A title=3D"=CB=F8=B4=E6=C6=F7 vhdl=D4=B4=B3=CC=D0=F2"=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1031/935.html">=CB=F8=B4=E6=
=C6=F7 vhdl=D4=B4=B3=CC=D0=F2</A>=20
<LI>=A1=A4<A title=3D"=CD=A8=D3=C3=BC=C4=B4=E6=C6=F7 =
vhdl=D4=B4=B3=CC=D0=F2"=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/FPGA_CPLD/2006/1031/940.html">=CD=
=A8=D3=C3=BC=C4=B4=E6=C6=F7=20
vhdl=D4=B4=B3=CC=D0=F2</A>=20
<LI>=A1=A4<A =
title=3DVHDL=D6=D0Loop=B6=AF=CC=AC=CC=F5=BC=FE=B5=C4=BF=C9=D7=DB=BA=CF=D7=
=AA=BB=AF=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1017/836.html">VHDL=D6=D0Lo=
op=B6=AF=CC=AC=CC=F5=BC=FE=B5=C4=BF=C9=D7=DB=BA=CF=D7=AA=BB=AF</A>=20
<LI>=A1=A4<A =
title=3D=CA=FD=D7=D6=D0=C5=BA=C5=B7=A2=CB=CD=BA=CD=BD=D3=CA=D5=B5=C4VHDL=D4=
=B4=C2=EB=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1017/835.html">=CA=FD=D7=D6=
=D0=C5=BA=C5=B7=A2=CB=CD=BA=CD=BD=D3=CA=D5=B5=C4VHDL=D4=B4=C2=EB</A>=20
<LI>=A1=A4<A =
title=3DVHDL=C9=E8=BC=C6=D6=D0=B5=E7=C2=B7=D3=C5=BB=AF=CE=CA=CC=E2=20
=
href=3D"http://www.dzkf.cn/html/EDAjishu/2006/1204/1149.html">VHDL=C9=E8=BC=
=C6=D6=D0=B5=E7=C2=B7=D3=C5=BB=AF=CE=CA=CC=E2</A>=20
</LI></UL></DIV><BR></DIV></DIV><!--box_l_c end -->
<DIV class=3Dbox_a_b> </DIV></DIV></DIV><SPAN =
class=3Dcls></SPAN><!--right end-->
<DIV></DIV>
<DIV id=3Dfooter>
<P id=3Dfooter_info><A =
href=3D"http://www.dzkf.cn/plus/about.html">=B9=D8=D3=DA=B1=BE=D5=BE</A> =
| <A=20
href=3D"http://www.dzkf.cn/plus/contact.html">=C1=AA=CF=B5=CE=D2=C3=C7</A=
> | <A=20
href=3D"http://www.miibeian.gov.cn/">=C2=A4ICP=B1=B806003041=BA=C5</A> | =
<A=20
href=3D"http://www.dzkf.cn/plus/sitemap.html">=CD=F8=D5=BE=B5=D8=CD=BC</A=
> | <A=20
href=3D"http://www.dzkf.cn/plus/guestbook/index.php">=CD=F8=D5=BE=C1=F4=D1=
=D4</A> </P>
<P id=3Dcopyright>Copyright © 2006-2007 <A title=3Dwww.dzkf.cn=20
href=3D"http://www.dzkf.cn/">http://www.dzkf.cn/</A> All rights =
reserved. </P>
<SCRIPT src=3D"http://www.dzkf.cn/astrack.js" =
type=3Dtext/javascript></SCRIPT>
<SCRIPT src=3D"http://www.google-analytics.com/urchin.js" =
type=3Dtext/javascript>
</SCRIPT>
<SCRIPT type=3Dtext/javascript>
_uacct =3D "UA-302533-2";
urchinTracker();
</SCRIPT>
<SCRIPT language=3Djavascript src=3D"http://js.users.51.la/477335.js"=20
type=3Dtext/javascript></SCRIPT>
<NOSCRIPT><A href=3D"http://www.51.la/?477335" target=3D_blank><IMG=20
style=3D"BORDER-TOP-STYLE: none; BORDER-RIGHT-STYLE: none; =
BORDER-LEFT-STYLE: none; BORDER-BOTTOM-STYLE: none"=20
alt=3D=CE=D2=D2=AA=C0=B2=C3=E2=B7=D1=CD=B3=BC=C6 =
src=3D"http://img.users.51.la/477335.asp"></A></NOSCRIPT>=20
</DIV></BODY></HTML>
------=_NextPart_000_0000_01C7EE2E.624EDBB0
Content-Type: image/gif
Content-Transfer-Encoding: base64
Content-Location: http://www.dzkf.cn/plus/img/title.gif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⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -