⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 vhdl 计数器源程序.mht

📁 FPGA里面的计数器相关资料及程序汇总大全
💻 MHT
📖 第 1 页 / 共 5 页
字号:
From: <由 Windows Internet Explorer 7 保存>
Subject: =?gb2312?B?VkhETCC8xsr9xvfUtLPM0PI=?=
Date: Mon, 3 Sep 2007 13:28:58 +0800
MIME-Version: 1.0
Content-Type: multipart/related;
	type="text/html";
	boundary="----=_NextPart_000_0000_01C7EE2E.624EDBB0"
X-MimeOLE: Produced By Microsoft MimeOLE V6.00.2900.3138

This is a multi-part message in MIME format.

------=_NextPart_000_0000_01C7EE2E.624EDBB0
Content-Type: text/html;
	charset="gb2312"
Content-Transfer-Encoding: quoted-printable
Content-Location: http://www.dzkf.cn/html/EDAjishu/2006/1031/937.html

<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN" =
"http://www.w3c.org/TR/1999/REC-html401-19991224/loose.dtd">
<HTML xmlns=3D"http://www.w3.org/1999/xhtml"><HEAD><TITLE>VHDL =
=BC=C6=CA=FD=C6=F7=D4=B4=B3=CC=D0=F2</TITLE>
<META http-equiv=3DContent-Type content=3D"text/html; charset=3Dgb2312">
<META content=3DVHDL,=BC=C6=CA=FD=C6=F7 name=3Dkeywords>
<META content=3D"VHDL =BC=C6=CA=FD=C6=F7=D4=B4=B3=CC=D0=F2" =
name=3Ddescription><LINK=20
href=3D"http://www.dzkf.cn/templets/pale_blue_skin/css/css.css" =
type=3Dtext/css=20
rel=3Dstylesheet>
<SCRIPT src=3D"http://www.dzkf.cn/templets/tpale_blue_skin/Js/exlink.js" =

type=3Dtext/javascript></SCRIPT>

<META content=3D"MSHTML 6.00.6000.16525" name=3DGENERATOR></HEAD>
<BODY>
<DIV id=3Dheader>
<DIV id=3Dtop>
<DIV id=3DpageHeaderImage><IMG =
alt=3D=CE=AA=B5=E7=D7=D3=BF=AA=B7=A2=D5=DF=CC=E1=B9=A9=D7=EE=C8=AB=D7=EE=D0=
=C2=B5=C4=D7=CA=C1=CF=20
src=3D"http://www.dzkf.cn/plus/img/title.gif"> </DIV></DIV>
<DIV id=3Dnav>
<UL>
  <LI><A title=3D=B5=E7=D7=D3=BF=AA=B7=A2=CD=F8 =
href=3D"http://www.dzkf.cn/"><SPAN>=CA=D7=D2=B3</SPAN></A>=20
  <LI id=3Dsel><A title=3DEDA=BC=BC=CA=F5=20
  =
href=3D"http://www.dzkf.cn/html/EDAjishu/index.html"><SPAN>EDA=BC=BC=CA=F5=
</SPAN></A>
  <LI><A title=3D=C7=B6=C8=EB=CA=BD=CF=B5=CD=B3=20
  =
href=3D"http://www.dzkf.cn/html/qianrushixitong/index.html"><SPAN>=C7=B6=C8=
=EB=CA=BD=CF=B5=CD=B3</SPAN></A>
  <LI><A title=3D=B5=E7=D7=D3=D6=C6=D7=F7=20
  =
href=3D"http://www.dzkf.cn/html/dianziDIY/index.html"><SPAN>=B5=E7=D7=D3=D6=
=C6=D7=F7</SPAN></A>
  <LI><A title=3DPCB=BC=BC=CA=F5=20
  =
href=3D"http://www.dzkf.cn/html/PCBjishu/index.html"><SPAN>PCB=BC=BC=CA=F5=
</SPAN></A>
  <LI><A title=3D=D7=DB=BA=CF=BC=BC=CA=F5=20
  =
href=3D"http://www.dzkf.cn/html/zonghejishu/index.html"><SPAN>=D7=DB=BA=CF=
=BC=BC=CA=F5</SPAN></A>
  <LI><A title=3D=B5=E7=C2=B7=CD=BC=20
  =
href=3D"http://www.dzkf.cn/html/dianlutu/index.html"><SPAN>=B5=E7=C2=B7=CD=
=BC</SPAN></A>
  <LI><A title=3D=D4=B4=C2=EB=D7=CA=C1=CF=20
  =
href=3D"http://www.dzkf.cn/html/yuanmaziliao/index.html"><SPAN>=D4=B4=C2=EB=
=D7=CA=C1=CF</SPAN></A>=20
  <LI><A title=3D=B5=E7=D7=D3=BF=AA=B7=A2=CD=F8=D7=CA=C1=CF=B9=B2=CF=ED =
href=3D"http://share.dzkf.cn/"><SPAN>=BB=E1=D4=B1=B9=B2=CF=ED</SPAN></A> =

</LI></UL></DIV>
<DIV id=3Dsub_nav>=C0=B8=C4=BF=B5=BC=BA=BD: <A title=3DFPGA/CPLD=20
href=3D"http://www.dzkf.cn/html/EDAjishu/FPGA_CPLD/index.html"><B>FPGA/CP=
LD</B></A>=20
<A title=3DVerilog=20
href=3D"http://www.dzkf.cn/html/EDAjishu/Verilog/index.html"><B>Verilog</=
B></A> <A=20
title=3DVHDL=20
href=3D"http://www.dzkf.cn/html/EDAjishu/VHDL/index.html"><B>VHDL</B></A>=
 <A=20
title=3DModelSim=20
href=3D"http://www.dzkf.cn/html/EDAjishu/ModelSim/index.html"><B>ModelSim=
</B></A>=20
<A title=3DProteus=20
href=3D"http://www.dzkf.cn/html/EDAjishu/Proteus/index.html"><B>Proteus</=
B></A>=20
</DIV></DIV>
<DIV id=3Dmain>
<DIV id=3Du_place>=B5=B1=C7=B0=CE=BB=D6=C3=A3=BA<A =
href=3D"http://www.dzkf.cn/">=CA=D7=D2=B3</A> &gt; <A=20
href=3D"http://www.dzkf.cn/html/EDAjishu/index.html">EDA=BC=BC=CA=F5</A> =
&gt; VHDL =BC=C6=CA=FD=C6=F7=D4=B4=B3=CC=D0=F2=20
</DIV>
<DIV id=3Dcenter>
<DIV class=3Dbox_a>
<DIV class=3Dbox_a_t>&nbsp;</DIV>
<DIV class=3Dbox_l_c>
<H1 id=3Darticlename>VHDL =BC=C6=CA=FD=C6=F7=D4=B4=B3=CC=D0=F2 </H1>
<DIV id=3Datc_info>
<UL>
  <LI><SPAN id=3Dselectfont>
  <SCRIPT type=3Dtext/javascript>function doZoom(size){var =
zoom=3Ddocument.all?document.all['Zoom']:document.getElementById('Zoom');=
zoom.style.fontSize=3Dsize+'px';}
</SCRIPT>
  =D7=D6=CC=E5=B4=F3=D0=A1: <A href=3D"javascript:doZoom(12)">=D0=A1</A> =
<A=20
  href=3D"javascript:doZoom(14)">=D6=D0</A> <A =
href=3D"javascript:doZoom(16)">=B4=F3</A>=20
  </SPAN>=D7=F7=D5=DF=A3=BA &nbsp;&nbsp;&nbsp; =C0=B4=D4=B4=A3=BA =
&nbsp;&nbsp;&nbsp; =C8=D5=C6=DA=A3=BA2006-10-31=20
  &nbsp;&nbsp;&nbsp; =B5=E3=BB=F7=A3=BA1686
  <SCRIPT language=3Djavascript=20
  src=3D"http://www.dzkf.cn/plus/count.php?aid=3D937"></SCRIPT>
   </LI></UL></DIV>
<DIV class=3Dcontent id=3DZoom>
<SCRIPT type=3Dtext/javascript><!--
google_ad_client =3D "pub-1201501101095833";
google_ad_width =3D 728;
google_ad_height =3D 90;
google_ad_format =3D "728x90_as";
google_ad_type =3D "text_image";
google_ad_channel =3D"";
//--></SCRIPT>

<SCRIPT src=3D"http://pagead2.googlesyndication.com/pagead/show_ads.js"=20
type=3Dtext/javascript>
</SCRIPT>
<BR><BR>
<P><STRONG>=CA=AE=CE=E5=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY fiveteencout =
IS<BR>PORT(clk,reset,enable=20
: IN std_logic; count : OUT std_logic_vector(3 downto 0));<BR>END=20
fiveteencout;<BR>ARCHITECTURE counter OF fiveteencout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
3);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int &lt;=3D (OTHERS =
=3D&gt;=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"1110")=20
THEN<BR>count_int&lt;=3D"0000";</P>
<P>ELSE<BR>count_int &lt;=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =

(count_int=3D"1001") THEN<BR>--count_int&lt;=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count &lt;=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q&lt;=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q&lt;=3Dq=20
1;<BR>--IF (q&lt;=3D"1001") then<BR>--q&lt;=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset&lt;=3D'1')THEN<BR>--q&lt;=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q&lt;=3Dq =
'1';<BR>--end=20
if;<BR>--count&lt;=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count &lt;=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count &lt;=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
&lt;=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><STRONG>=CA=AE=CB=C4=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY fourteencout =
IS<BR>PORT(clk,reset,enable=20
: IN std_logic; count : OUT std_logic_vector(3 downto 0));<BR>END=20
fourteencout;<BR>ARCHITECTURE counter OF fourteencout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
3);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int &lt;=3D (OTHERS =
=3D&gt;=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"1101")=20
THEN<BR>count_int&lt;=3D"0000";</P>
<P>ELSE<BR>count_int &lt;=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =

(count_int=3D"1001") THEN<BR>--count_int&lt;=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count &lt;=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q&lt;=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q&lt;=3Dq=20
1;<BR>--IF (q&lt;=3D"1001") then<BR>--q&lt;=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset&lt;=3D'1')THEN<BR>--q&lt;=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q&lt;=3Dq =
'1';<BR>--end=20
if;<BR>--count&lt;=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count &lt;=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count &lt;=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
&lt;=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><STRONG>=CA=AE=C8=FD=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY thireteencout =
IS<BR>PORT(clk,reset,enable=20
: IN std_logic; count : OUT std_logic_vector(3 downto 0));<BR>END=20
thireteencout;<BR>ARCHITECTURE counter OF thireteencout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
3);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int &lt;=3D (OTHERS =
=3D&gt;=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"1100")=20
THEN<BR>count_int&lt;=3D"0000";</P>
<P>ELSE<BR>count_int &lt;=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =

(count_int=3D"1001") THEN<BR>--count_int&lt;=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count &lt;=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q&lt;=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q&lt;=3Dq=20
1;<BR>--IF (q&lt;=3D"1001") then<BR>--q&lt;=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset&lt;=3D'1')THEN<BR>--q&lt;=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q&lt;=3Dq =
'1';<BR>--end=20
if;<BR>--count&lt;=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count &lt;=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count &lt;=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
&lt;=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><STRONG>=CA=AE=B6=FE=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY twelvecout =
IS<BR>PORT(clk,reset,enable :=20
IN std_logic; count : OUT std_logic_vector(3 downto 0));<BR>END=20
twelvecout;<BR>ARCHITECTURE counter OF twelvecout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
3);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int &lt;=3D (OTHERS =
=3D&gt;=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"1011")=20
THEN<BR>count_int&lt;=3D"0000";</P>
<P>ELSE<BR>count_int &lt;=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =

(count_int=3D"1001") THEN<BR>--count_int&lt;=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count &lt;=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q&lt;=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q&lt;=3Dq=20
1;<BR>--IF (q&lt;=3D"1001") then<BR>--q&lt;=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset&lt;=3D'1')THEN<BR>--q&lt;=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q&lt;=3Dq =
'1';<BR>--end=20
if;<BR>--count&lt;=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count &lt;=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count &lt;=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
&lt;=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P><STRONG>=CA=AE=D2=BB=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY elevencout =
IS<BR>PORT(clk,reset,enable :=20
IN std_logic; count : OUT std_logic_vector(3 downto 0));<BR>END=20
elevencout;<BR>ARCHITECTURE counter OF elevencout IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
3);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int &lt;=3D (OTHERS =
=3D&gt;=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"1010")=20
THEN<BR>count_int&lt;=3D"0000";</P>
<P>ELSE<BR>count_int &lt;=3D count_int 1;<BR>--ELSE<BR>-- NULL ;<BR>--IF =

(count_int=3D"1001") THEN<BR>--count_int&lt;=3D"0000";<BR>END IF;<BR>END =
IF;<BR>END=20
PROCESS;<BR>count &lt;=3D count_int;<BR>-- IF (reset=3D'0')=20
then<BR>--q&lt;=3D"0000";<BR>---ELSIF(clk'event and clk=3D'1') =
THEN<BR>--q&lt;=3Dq=20
1;<BR>--IF (q&lt;=3D"1001") then<BR>--q&lt;=3D"0000";<BR>---END =
IF;<BR>--IF=20
(reset&lt;=3D'1')THEN<BR>--q&lt;=3D"00";<BR>--ELSIF<BR>--wait until =
(clk'event and=20
clk=3D'1');<BR>--WAIT UNTIL (clk'EVENT AND clk =3D '1');</P>
<P>--WAIT UNTIL (clock'EVENT AND clock =3D '1');<BR>-- q&lt;=3Dq =
'1';<BR>--end=20
if;<BR>--count&lt;=3Dq;<BR>-- WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event and=20
clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--clock'event =
and=20
clock=3D'1';<BR>--count &lt;=3D 0;<BR>--WAIT UNTIL (clock'EVENT AND =
clock =3D=20
'1');<BR>--WAIT riseedge clock =3D '1';<BR>--if (clock'event and =
clock=3D'1')=20
then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count &lt;=3D 1;<BR>--WAIT =
UNTIL=20
(clock'EVENT AND clock =3D '1');<BR>--WAIT UNTIL clock =3D '1';<BR>--if =
(clock'event=20
and clock=3D'1')then<BR>--WAIT UNTIL rising_edge(clock);<BR>--count =
&lt;=3D=20
2;<BR>--end if;<BR>--end if;<BR>--end if;<BR>-- END PROCESS;<BR>END =
counter;</P>
<P>&nbsp;</P>
<P><STRONG>=CA=AE=BC=C6=CA=FD=C6=F7</STRONG></P>
<P>library ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
ieee.std_logic_unsigned.all;<BR>ENTITY count IS<BR>PORT(clk,reset,enable =
: IN=20
std_logic; count : OUT std_logic_vector(3 downto 0));<BR>END=20
count;<BR>ARCHITECTURE counter OF count IS<BR>SIGNAL=20
count_int:std_logic_vector(0 to=20
3);<BR>BEGIN<BR>PROCESS(clk,reset)<BR>BEGIN<BR>WAIT UNTIL=20
rising_edge(clk);<BR>IF reset =3D '1' THEN<BR>count_int &lt;=3D (OTHERS =
=3D&gt;=20
'0');<BR>ELSIF enable =3D '1' THEN<BR>IF(count_int=3D"1001")=20
THEN<BR>count_int&lt;=3D"0000";</P>

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -