⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 计数器——vhdl源码【原】_莱密之家[金鼎国]—电子爱好者—博客—湖北武汉—中南民族大学.mht

📁 FPGA里面的计数器相关资料及程序汇总大全
💻 MHT
📖 第 1 页 / 共 5 页
字号:
      ieee.std_logic_unsigned.all;<BR><BR>entity cout10=20
      is<BR>port(<BR>&nbsp;&nbsp;&nbsp; clk_in,rest_in:in=20
      std_logic;<BR>&nbsp;&nbsp;&nbsp; clk_key_in:in=20
      std_logic;--=B0=B4=BC=FC<BR>&nbsp;&nbsp;&nbsp; clk_md_in:in =
std_logic_vector(2=20
      downto 0);--=BC=D3=C4=A3=CA=BD=CE=BB--000 =BC=D31 --001 =BC=D310 =
010 =BC=D3100 011=20
      =BC=D31000<BR>&nbsp;&nbsp;&nbsp; bt_out:out std_logic_vector(3 =
downto=20
      0);--=CA=FD=C2=EB=B9=DC=CE=BB=D1=A1<BR>&nbsp;&nbsp;&nbsp; cout:out =
std_logic_vector(6 downto=20
      0)<BR>&nbsp;&nbsp;&nbsp; );<BR>end;<BR><BR>architecture lammy02 of =
cout10=20
      is<BR>&nbsp;&nbsp;&nbsp; component showled<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; port(<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; indata:in std_logic_vector(3 downto=20
      0);<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      outdata:out std_logic_vector(6 downto 0)<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; );<BR>&nbsp;&nbsp;&nbsp; end =

      component showled;<BR>&nbsp;&nbsp;&nbsp; component cnt10=20
      <BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; =
port(<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; rest,clk:in=20
      std_logic;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp;=20
      clk_key:in std_logic;--=B0=B4=BC=FC<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; clk_md:in std_logic_vector(2 downto =
0);--=BC=D3=C4=A3=CA=BD=CE=BB--000 =BC=D31=20
      --001 =BC=D310 010 =BC=D3100 011 =BC=D31000<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; bt:out std_logic_vector(3 downto=20
      0);--=CA=FD=C2=EB=B9=DC=CE=BB=D1=A1<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      cout1:out std_logic_vector(3 downto =
0)--=B8=F6=CE=BB<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; );<BR>&nbsp;&nbsp;&nbsp; end component=20
      cnt10;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; =
signal=20
      d_cout1:std_logic_vector(3 downto 0);<BR>&nbsp;&nbsp;&nbsp;=20
      begin<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; u1: cnt10 port map=20
      =
(clk=3D&gt;clk_in,rest=3D&gt;rest_in,clk_key=3D&gt;clk_key_in,clk_md=3D&g=
t;clk_md_in,bt=3D&gt;bt_out,cout1=3D&gt;d_cout1);<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; u2: showled port map=20
      =
(indata=3D&gt;d_cout1,outdata=3D&gt;cout);<BR>end;<BR><BR><BR>///////////=
//////////showled.vhd<BR>library=20
      ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
      ieee.std_logic_unsigned.all;<BR><BR>entity showled=20
      is<BR>port(<BR>&nbsp;&nbsp;&nbsp; indata:in std_logic_vector(3 =
downto=20
      0);<BR>&nbsp;&nbsp;&nbsp; outdata:out std_logic_vector(6 downto=20
      0)<BR>&nbsp;&nbsp;&nbsp; );<BR>end;<BR><BR>architecture lammy01 of =
showled=20
      is<BR>--signal in_data:std_logic_vector(3 downto=20
      0);<BR>begin<BR>&nbsp;&nbsp;&nbsp; =
process(indata)<BR>&nbsp;&nbsp;&nbsp;=20
      begin<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; case indata=20
      is<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; when "0000" =3D&gt;=20
      outdata&lt;=3D"0111111";--0<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp; when=20
      "0001" =3D&gt; outdata&lt;=3D"0000110";--1<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; when "0010" =3D&gt;=20
      outdata&lt;=3D"1011011";--2<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp; when=20
      "0011" =3D&gt; outdata&lt;=3D"1001111";--3<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; when "0100" =3D&gt;=20
      outdata&lt;=3D"1100110";--4<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp; when=20
      "0101" =3D&gt; outdata&lt;=3D"1101101";--5<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; when "0110" =3D&gt;=20
      outdata&lt;=3D"1111101";--6<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp; when=20
      "0111" =3D&gt; outdata&lt;=3D"0000111";--7<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; when "1000" =3D&gt;=20
      outdata&lt;=3D"1111111";--8<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp; when=20
      "1001" =3D&gt; outdata&lt;=3D"1101111";--9<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; when others =3D&gt; null;<BR>&nbsp;&nbsp;&nbsp; =

      &nbsp;&nbsp;&nbsp; end case;<BR>&nbsp;&nbsp;&nbsp; end=20
      process;<BR>end;<BR><BR><BR>///////////////cnt10.vhd<BR>library=20
      ieee;<BR>use ieee.std_logic_1164.all;<BR>use=20
      ieee.std_logic_unsigned.all;<BR><BR>entity cnt10=20
      is<BR>port(<BR>&nbsp;&nbsp;&nbsp; rest,clk:in=20
      std_logic;<BR>&nbsp;&nbsp;&nbsp; clk_key:in=20
      std_logic;--=B0=B4=BC=FC<BR>&nbsp;&nbsp;&nbsp; clk_md:in =
std_logic_vector(2 downto=20
      0);--=BC=D3=C4=A3=CA=BD=CE=BB--000 =BC=D31 --001 =BC=D310 010 =
=BC=D3100 011 =BC=D31000<BR><BR>&nbsp;&nbsp;&nbsp;=20
      bt:out std_logic_vector(3 downto =
0);--=CA=FD=C2=EB=B9=DC=CE=BB=D1=A1<BR>&nbsp;&nbsp;&nbsp;=20
      cout1:out std_logic_vector(3 downto =
0)--=B8=F6=CE=BB<BR>&nbsp;&nbsp;&nbsp;=20
      );<BR>end;<BR><BR>architecture lammy02 of cnt10 =
is<BR>&nbsp;&nbsp;&nbsp;=20
      type state is(s0,s1,s2,s3);<BR>&nbsp;&nbsp;&nbsp; signal=20
      led_state:state;<BR>&nbsp;&nbsp;&nbsp; signal=20
      outdata_1,outdata_2,outdata_3,outdata_4:std_logic_vector(3 downto=20
      =
0):=3D"0000";<BR>begin<BR><BR>lammy_01:process(rest,clk,led_state)<BR>&nb=
sp;&nbsp;&nbsp;=20
      begin<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; if rest=3D'1' then=20
      cout1&lt;=3D"0000";led_state&lt;=3Ds0;<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; elsif clk'event and clk=3D'1' then =
<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; case led_state is<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; when s0 =3D&gt;=20
      =
bt&lt;=3D"1000";cout1&lt;=3Doutdata_1;led_state&lt;=3Ds1;<BR>&nbsp;&nbsp;=
&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; when s1 =3D&gt;=20
      =
bt&lt;=3D"0100";cout1&lt;=3Doutdata_2;led_state&lt;=3Ds2;<BR>&nbsp;&nbsp;=
&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; when s2 =3D&gt;=20
      =
bt&lt;=3D"0010";cout1&lt;=3Doutdata_3;led_state&lt;=3Ds3;<BR>&nbsp;&nbsp;=
&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; when s3 =3D&gt;=20
      =
bt&lt;=3D"0001";cout1&lt;=3Doutdata_4;led_state&lt;=3Ds0;<BR>&nbsp;&nbsp;=
&nbsp;=20
      &nbsp;&nbsp;&nbsp; end case;<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp; end=20
      if;<BR>&nbsp;&nbsp;&nbsp; end=20
      process;<BR>lammy_02:process(clk_key)<BR>&nbsp;&nbsp;&nbsp; =
variable=20
      cout_1,cout_2,cout_3,cout_4:std_logic_vector(3 downto=20
      0);<BR>&nbsp;&nbsp;&nbsp; begin<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp;=20
      if rising_edge(clk_key) then <BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; if clk_md=3D"000" then<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; if =
cout_1=3D"1001"=20
      and cout_2=3D"1001" and cout_3=3D"1001" and cout_4=3D"1001"=20
      <BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; then cout_1:=3D"1001" ; =
cout_2:=3D"1001"=20
      ; cout_3:=3D"1001" ; cout_4:=3D"1001";<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; elsif cout_1=3D"1001" and cout_2=3D"1001" and =
cout_3=3D"1001"=20
      then=20
      =
cout_4:=3Dcout_4+1;cout_1:=3D"0000";cout_2:=3D"0000";cout_3:=3D"0000";<BR=
>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; elsif cout_1=3D"1001" and=20
      cout_2=3D"1001" then=20
      =
cout_3:=3Dcout_3+1;cout_1:=3D"0000";cout_2:=3D"0000";<BR>&nbsp;&nbsp;&nbs=
p;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; elsif=20
      cout_1=3D"1001" then =
cout_2:=3Dcout_2+1;cout_1:=3D"0000";<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; else cout_1:=3Dcout_1+1;<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; end=20
      if;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; =
elsif=20
      clk_md=3D"001" then <BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; if cout_2=3D"1001" and =
cout_3=3D"1001"=20
      and cout_4=3D"1001" <BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; then=20
      cout_2:=3D"1001" ; cout_3:=3D"1001" ; =
cout_4:=3D"1001";<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; elsif cout_2=3D"1001" and cout_3=3D"1001" then=20
      =
cout_4:=3Dcout_4+1;cout_2:=3D"0000";cout_3:=3D"0000";<BR>&nbsp;&nbsp;&nbs=
p;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; elsif cout_2=3D"1001" then=20
      cout_3:=3Dcout_3+1; cout_2:=3D"0000";<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; else cout_2:=3Dcout_2+1;<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; end=20
      if;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; =
elsif=20
      clk_md=3D"010" then<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; if cout_3=3D"1001" and =
cout_4=3D"1001"=20
      <BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; then cout_3:=3D"1001" ;=20
      cout_4:=3D"1001";<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; elsif=20
      cout_3=3D"1001" then =
cout_4:=3Dcout_4+1;cout_3:=3D"0000";<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; else cout_3:=3Dcout_3+1;<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; end=20
      if;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; =
elsif=20
      clk_md=3D"011" then<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; if cout_4=3D"1001" then=20
      cout_4:=3D"1001";<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; else=20
      cout_4:=3Dcout_4+1;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; end =
if;<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; end =
if;<BR>&nbsp;&nbsp;&nbsp;=20
      &nbsp;&nbsp;&nbsp; end if;<BR>&nbsp;&nbsp;&nbsp; =
&nbsp;&nbsp;&nbsp;=20
      outdata_1&lt;=3Dcout_1;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      outdata_2&lt;=3Dcout_2;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      outdata_3&lt;=3Dcout_3;<BR>&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp;=20
      outdata_4&lt;=3Dcout_4;<BR>&nbsp;&nbsp;&nbsp; end=20
  process;<BR>end;</DIV></TD></TR></TBODY></TABLE><BR>
<DIV class=3Dopt><A =
title=3D=B2=E9=BF=B4=B8=C3=B7=D6=C0=E0=D6=D0=CB=F9=D3=D0=CE=C4=D5=C2=20
href=3D"http://hi.baidu.com/lammy/blog/category/eda--vhdl">=C0=E0=B1=F0=A3=
=BAeda--vhdl</A> | <A=20
title=3D=BD=AB=B4=CB=CE=C4=D5=C2=CC=ED=BC=D3=B5=BD=B0=D9=B6=C8=CB=D1=B2=D8=
=20
onclick=3D"window.open('http://cang.baidu.com/do/add?it=3D'+encodeURIComp=
onent('=BC=C6=CA=FD=C6=F7=A1=AA=A1=AAVHDL=D4=B4=C2=EB=A1=BE=D4=AD=A1=BF'+=
'_=B0=D9=B6=C8=BF=D5=BC=E4')+'&amp;iu=3D'+encodeURIComponent(location.hre=
f)+'&amp;fr=3Dsp#nw=3D1','_s','scrollbars=3Dno,width=3D600,height=3D450,r=
ight=3D75,top=3D20,status=3Dno,resizable=3Dyes'); return false;"=20
href=3D"http://cang.baidu.com/do/add" =
target=3D_blank>=CC=ED=BC=D3=B5=BD=CB=D1=B2=D8</A> | =E4=AF=C0=C0(<SPAN=20
id=3Dresult></SPAN>) </DIV>
<DIV class=3Dline></DIV>
<DIV id=3Din_reader>
<DIV class=3Dtit>=D7=EE=BD=FC=B6=C1=D5=DF=A3=BA</DIV>
<TABLE width=3D"100%">
  <TBODY>
  <TR>
    <TD align=3Dmiddle width=3D"10%"><IMG height=3D55=20
      src=3D"http://img.baidu.com/hi/img/portraitn.jpg" width=3D55=20
    border=3D0><BR>&nbsp;</TD>
    <TD align=3Dleft width=3D"100%">
      <SCRIPT>document.write("<a =
href=3D'http://passport.baidu.com/?login&tpl=3Dsp&tpl_reg=3Dsp&u=3D"+myre=
f+"' =
target=3D'_self'>=B5=C7=C2=BC</a>=BA=F3=A3=AC=C4=FA=BE=CD=B3=F6=CF=D6=D4=DA=
=D5=E2=C0=EF=A1=A3");</SCRIPT>
    </TD>
    <TD width=3D"100%"></TD></TR></TBODY></TABLE></DIV>
<DIV class=3Dline></DIV>
<SCRIPT language=3DJavaScript>
allkey=3Dallkey+"99459e139b2ac7806538dbcc_b847bd7ef935633a0dd7da6f_";
</SCRIPT>

<DIV id=3Din_comment><A name=3Dcomment></A>
<DIV class=3Dtit>=CD=F8=D3=D1=C6=C0=C2=DB=A3=BA</DIV>
<SCRIPT>
function writecmt(type,id,cmtname,cmturl,portraitId){
	var html1=3D"";

	if(type=3D=3D1){
			html1=3D"<a name=3D'"+id+"' href=3D'"+cmturl+"' target=3D'_blank' =
title=3D'"+cmturl+"'><img  border=3D'0' =
src=3D'http://himg.baidu.com/sys/portraitn/item/"+portraitId+".jpg'><br>"=
+cmtname+"</a>";
	}else{
		if(cmtname=3D=3D"" || cmtname=3D=3D"=C4=E4=C3=FB=CD=F8=D3=D1"){
			if(cmturl=3D=3D""){
				html1=3D"<a name=3D'"+id+"'>=C4=E4=C3=FB=CD=F8=D3=D1</a>";
			}else{
				html1=3D"<a name=3D'"+id+"' href=3D'"+cmturl+"' target=3D'_blank' =
title=3D'"+cmturl+"'>"+cmtname+"</a>";
			}
		}else{
			if(cmturl=3D=3D""){
				html1=3D"<div class=3D'f14' style=3D'display:inline'>=CD=F8=D3=D1:<a =
name=3D'"+id+"'>"+cmtname+"</a></div>";
			}else{
				html1=3D"<div class=3D'f14' style=3D'display:inline'>=CD=F8=D3=D1:<a =
name=3D'"+id+"' href=3D'"+cmturl+"' target=3D'_blank' =
title=3D'"+cmturl+"'>"+cmtname+"</a></div>";
			}
		}
	}
	document.write(html1);
}

</SCRIPT>

<TABLE class=3Ditem cellSpacing=3D0 cellPadding=3D0 width=3D"100%" =
border=3D0>
  <TBODY>
  <TR>
    <TD class=3Dindex vAlign=3Dtop width=3D"5%">1</TD>
    <TD vAlign=3Dtop align=3Dmiddle width=3D"10%">
      <DIV class=3Duser style=3D"OVERFLOW: hidden">
      <SCRIPT>
writecmt(1,"2abd34013313850c7bec2ce6","fenzi911","http://hi.baidu.com/siz=
hou","151666656e7a693931310500");
</SCRIPT>
      </DIV></TD>
    <TD class=3Dcnt style=3D"PADDING-LEFT: 20px"><SPAN =
class=3Ddate>2007=C4=EA06=D4=C218=C8=D5 =D0=C7=C6=DA=D2=BB=20
      21:30 </SPAN>
      <DIV class=3Ddesc=20
  style=3D"OVERFLOW: =
hidden">=D7=EE=BD=FC=D5=FD=D4=DA=BF=B4=D5=E2=B7=BD=C3=E6=B5=C4=A3=AC=C4=E3=
=D3=C3=B5=C4=CA=C7=CA=B2=C3=B4=B0=E5=D7=D3=BA=CD=BF=AA=B7=A2=C8=ED=BC=FE=A3=
=BF</DIV></TD></TR></TBODY></TABLE>
<DIV class=3Dline></DIV>
<TABLE class=3Ditem cellSpacing=3D0 cellPadding=3D0 width=3D"100%" =
border=3D0>
  <TBODY>
  <TR>
    <TD class=3Dindex vAlign=3Dtop width=3D"5%">2</TD>
    <TD vAlign=3Dtop align=3Dmiddle width=3D"10%">
      <DIV class=3Duser style=3D"OVERFLOW: hidden">
      <SCRIPT>
writecmt(1,"ff5338fa9eba468b9e5146a9","lammyt","http://hi.baidu.com/lammy=
","951b6c616d6d79743601");
</SCRIPT>
      </DIV></TD>
    <TD class=3Dcnt style=3D"PADDING-LEFT: 20px"><SPAN =
class=3Ddate>2007=C4=EA06=D4=C218=C8=D5 =D0=C7=C6=DA=D2=BB=20
      23:15 </SPAN>
      <DIV class=3Ddesc style=3D"OVERFLOW: =
hidden">=CE=D2=C3=BB=D3=D0=BF=AA=B7=A2=B0=E5=B0=A1=A3=AC=BF=AA=B7=A2=C8=ED=
=BC=FE=B5=B1=C8=BB=CA=C7Quartus II=20
      5.0=C0=B2=A1=A3</DIV></TD></TR></TBODY></TABLE>
<DIV class=3Dline></DIV>
<TABLE class=3Ditem cellSpacing=3D0 cellPadding=3D0 width=3D"100%" =
border=3D0>
  <TBODY>
  <TR>
    <TD class=3Dindex vAlign=3Dtop width=3D"5%">3</TD>
    <TD vAlign=3Dtop align=3Dmiddle width=3D"10%">
      <DIV class=3Duser style=3D"OVERFLOW: hidden">
      <SCRIPT>
writecmt(1,"fe368835fe79d81390ef399e","hms35","http://hi.baidu.com/hms35"=
,"93a2686d7333355601");
</SCRIPT>
      </DIV></TD>
    <TD class=3Dcnt style=3D"PADDING-LEFT: 20px"><SPAN =
class=3Ddate>2007=C4=EA06=D4=C219=C8=D5 =D0=C7=C6=DA=B6=FE=20
      20:38 </SPAN>
      <DIV class=3Ddesc=20
style=3D"OVERFLOW: =
hidden">=CE=D2=CF=B2=BB=B6VEILOG</DIV></TD></TR></TBODY></TABLE>
<DIV class=3Dline></DIV>
<TABLE class=3Ditem cellSpacing=3D0 cellPadding=3D0 width=3D"100%" =
border=3D0>
  <TBODY>
  <TR>
    <TD class=3Dindex vAlign=3Dtop width=3D"5%">4</TD>
    <TD vAlign=3Dtop align=3Dmiddle width=3D"10%">
      <DIV class=3Duser style=3D"OVERFLOW: hidden">
      <SCRIPT>
writecmt(1,"8cd2c4173135270bc93d6d05","lammyt","http://hi.baidu.com/lammy=
","951b6c616d6d79743601");
</SCRIPT>
      </DIV></TD>
    <TD class=3Dcnt style=3D"PADDING-LEFT: 20px"><SPAN =
class=3Ddate>2007=C4=EA06=D4=C219=C8=D5 =D0=C7=C6=DA=B6=FE=20
      22:41 </SPAN>
      <DIV class=3Ddesc=20
      style=3D"OVERFLOW: =
hidden">=C3=BB=B0=EC=B7=A8=B0=A1=A3=AC=CE=D2=C3=C7=B5=C4=BD=CC=B2=C4=CA=C7=
VHDL=B5=C4=A3=AC=B4=F3=B6=FE=CF=C2=D1=A7=C6=DA=CE=D2=BF=B4=B9=FD=D2=BB=B5=
=E3=A3=AC=B8=D0=BE=F5=BA=CDC=B5=C4=B7=E7=B8=F1=D2=BB=D1=F9=A3=AC=B1=C8=BD=
=CF=C8=DD=D2=D7=D1=A7=A3=AC=B8=F7=D3=D0=B8=F7=B5=C4=D3=C5=B5=E3=A3=AC=D3=EF=
=D1=D4=CA=C7=D1=A7=C4=C4=B8=F6=B6=BC=D2=BB=D1=F9=B5=C4=A3=AC=B9=D8=BC=FC=CA=
=C7=BE=AB=A3=AC=B2=BB=B9=FD=CF=D6=D4=DA=BB=B9=C3=BB=D3=D0=B4=F2=CB=E3=B0=D1=
=CB=FC=BE=AB=A3=AC=D5=FD=D3=EB=C4=E3=CB=F9=CB=B5=B5=C4=A3=AC=B8=F7=B7=BD=C3=
=E6=B5=C4=D6=AA=CA=B6=B6=BC=D2=AA=C1=CB=BD=E2=A1=A3</DIV></TD></TR></TBOD=
Y></TABLE>
<DIV class=3Dline></DIV>
<DIV id=3Dpage></DIV></DIV>
<DIV id=3Din_send>
<FORM id=3DpopFormSubmit name=3Dform1 onsubmit=3D"return checkcmtform()" =

action=3D/lammy/commit method=3Dpost><INPUT type=3Dhidden value=3D8 =
name=3Dct> <INPUT=20
type=3Dhidden value=3D1 name=3Dcm> <INPUT type=3Dhidden =
value=3Db847bd7ef935633a0dd7da6f=20
name=3DspBlogID>
<SCRIPT language=3DJavaScript>
	document.write("<input type=3D'hidden' name=3D'spRefURL' =
value=3D'"+window.location.href+"'>");
</SCRIPT>
=20
<DIV class=3Dtit>=B7=A2=B1=ED=C6=C0=C2=DB=A3=BA</DIV>
<TABLE cellSpacing=3D5 cellPadding=3D0 width=3D620 border=3D0>
  <TBODY>
  <TR>
    <TD class=3Df14>=D0=D5=A1=A1=C3=FB=A3=BA</TD>
    <TD><INPUT id=3DspBlogCmtor style=3D"WIDTH: 220px" =
onfocus=3DhidErr(1);=20
      tabIndex=3D1 maxLength=3D49 onchange=3D"checkname('spBlogCmtor')"=20
      name=3DspBlogCmtor>
      <SCRIPT>
document.write(" &nbsp;&nbsp; <a =
href=3D'http://passport.baidu.com/?reg&tpl=3Dsp&return_method=3Dget&skip_=

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -