📄 uart4.vhm
字号:
WDO1 => WDO1_19,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_4,
WAD2 => un1_top_axbxc2_4,
WAD3 => un1_top_axbxc3_4,
DI0 => rsr(0),
DI1 => rsr(1),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_mem_0_2: DPR16X2B port map (
RDO0 => DATAOUT2_FFIN,
RDO1 => DATAOUT3_FFIN,
WDO0 => WDO0_20,
WDO1 => WDO1_20,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_4,
WAD2 => un1_top_axbxc2_4,
WAD3 => un1_top_axbxc3_4,
DI0 => rsr(2),
DI1 => rsr(3),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_mem_0_1: DPR16X2B port map (
RDO0 => DATAOUT4_FFIN,
RDO1 => DATAOUT5_FFIN,
WDO0 => WDO0_21,
WDO1 => WDO1_21,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_4,
WAD2 => un1_top_axbxc2_4,
WAD3 => un1_top_axbxc3_4,
DI0 => rsr(4),
DI1 => rsr(5),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_mem_0_0: DPR16X2B port map (
RDO0 => DATAOUT6_FFIN,
RDO1 => DATAOUT7_FFIN,
WDO0 => WDO0_22,
WDO1 => WDO1_22,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_4,
WAD2 => un1_top_axbxc2_4,
WAD3 => un1_top_axbxc3_4,
DI0 => rsr(6),
DI1 => rsr(7),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_FF_0: FD1P3DX port map (
D => DATAOUT0_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(0),
QN => FF_0_QN_4,
GSR => VCC);
II_FF_1: FD1P3DX port map (
D => DATAOUT1_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(1),
QN => FF_1_QN_4,
GSR => VCC);
II_FF_2: FD1P3DX port map (
D => DATAOUT2_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(2),
QN => FF_2_QN_4,
GSR => VCC);
II_FF_3: FD1P3DX port map (
D => DATAOUT3_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(3),
QN => FF_3_QN_4,
GSR => VCC);
II_FF_4: FD1P3DX port map (
D => DATAOUT4_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(4),
QN => FF_4_QN_4,
GSR => VCC);
II_FF_5: FD1P3DX port map (
D => DATAOUT5_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(5),
QN => FF_5_QN_4,
GSR => VCC);
II_FF_6: FD1P3DX port map (
D => DATAOUT6_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(6),
QN => FF_6_QN_4,
GSR => VCC);
II_FF_7: FD1P3DX port map (
D => DATAOUT7_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out1(7),
QN => FF_7_QN_4,
GSR => VCC);
II_AND2_t0: AND2 port map (
A => wr,
B => wr,
Z => DEC_WRE3);
NN_1 <= '0';
NN_2 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
entity dpram16x8_4_1 is
port(
datar_out0 : out std_logic_vector (7 downto 0);
rsr : in std_logic_vector (7 downto 0);
top_i : in std_logic_vector (0 downto 0);
bottom : in std_logic_vector (3 downto 0);
wr : in std_logic;
VCC : in std_logic;
rst_c : in std_logic;
pop : in std_logic;
GND : in std_logic;
clk_c : in std_logic;
un1_top_axbxc3_3 : in std_logic;
un1_top_axbxc2_3 : in std_logic;
un1_top_axbxc1_3 : in std_logic);
end dpram16x8_4_1;
architecture beh of dpram16x8_4_1 is
signal WDO0_15 : std_logic ;
signal WDO1_15 : std_logic ;
signal WDO0_16 : std_logic ;
signal WDO1_16 : std_logic ;
signal WDO0_17 : std_logic ;
signal WDO1_17 : std_logic ;
signal WDO0_18 : std_logic ;
signal WDO1_18 : std_logic ;
signal DATAOUT0_FFIN : std_logic ;
signal FF_0_QN_3 : std_logic ;
signal DATAOUT1_FFIN : std_logic ;
signal FF_1_QN_3 : std_logic ;
signal DATAOUT2_FFIN : std_logic ;
signal FF_2_QN_3 : std_logic ;
signal DATAOUT3_FFIN : std_logic ;
signal FF_3_QN_3 : std_logic ;
signal DATAOUT4_FFIN : std_logic ;
signal FF_4_QN_3 : std_logic ;
signal DATAOUT5_FFIN : std_logic ;
signal FF_5_QN_3 : std_logic ;
signal DATAOUT6_FFIN : std_logic ;
signal FF_6_QN_3 : std_logic ;
signal DATAOUT7_FFIN : std_logic ;
signal FF_7_QN_3 : std_logic ;
signal DEC_WRE3 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
component DPR16X2B
port(
RDO0 : out std_logic;
RDO1 : out std_logic;
WDO0 : out std_logic;
WDO1 : out std_logic;
RAD0 : in std_logic;
RAD1 : in std_logic;
RAD2 : in std_logic;
RAD3 : in std_logic;
WAD0 : in std_logic;
WAD1 : in std_logic;
WAD2 : in std_logic;
WAD3 : in std_logic;
DI0 : in std_logic;
DI1 : in std_logic;
WRE : in std_logic;
WCK : in std_logic;
GSR : in std_logic );
end component;
component FD1P3DX
port(
D : in std_logic;
SP : in std_logic;
CK : in std_logic;
CD : in std_logic;
Q : out std_logic;
QN : out std_logic;
GSR : in std_logic );
end component;
component AND2
port(
A : in std_logic;
B : in std_logic;
Z : out std_logic );
end component;
begin
II_mem_0_3: DPR16X2B port map (
RDO0 => DATAOUT0_FFIN,
RDO1 => DATAOUT1_FFIN,
WDO0 => WDO0_15,
WDO1 => WDO1_15,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_3,
WAD2 => un1_top_axbxc2_3,
WAD3 => un1_top_axbxc3_3,
DI0 => rsr(0),
DI1 => rsr(1),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_mem_0_2: DPR16X2B port map (
RDO0 => DATAOUT2_FFIN,
RDO1 => DATAOUT3_FFIN,
WDO0 => WDO0_16,
WDO1 => WDO1_16,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_3,
WAD2 => un1_top_axbxc2_3,
WAD3 => un1_top_axbxc3_3,
DI0 => rsr(2),
DI1 => rsr(3),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_mem_0_1: DPR16X2B port map (
RDO0 => DATAOUT4_FFIN,
RDO1 => DATAOUT5_FFIN,
WDO0 => WDO0_17,
WDO1 => WDO1_17,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_3,
WAD2 => un1_top_axbxc2_3,
WAD3 => un1_top_axbxc3_3,
DI0 => rsr(4),
DI1 => rsr(5),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_mem_0_0: DPR16X2B port map (
RDO0 => DATAOUT6_FFIN,
RDO1 => DATAOUT7_FFIN,
WDO0 => WDO0_18,
WDO1 => WDO1_18,
RAD0 => bottom(0),
RAD1 => bottom(1),
RAD2 => bottom(2),
RAD3 => bottom(3),
WAD0 => top_i(0),
WAD1 => un1_top_axbxc1_3,
WAD2 => un1_top_axbxc2_3,
WAD3 => un1_top_axbxc3_3,
DI0 => rsr(6),
DI1 => rsr(7),
WRE => DEC_WRE3,
WCK => clk_c,
GSR => GND);
II_FF_0: FD1P3DX port map (
D => DATAOUT0_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(0),
QN => FF_0_QN_3,
GSR => VCC);
II_FF_1: FD1P3DX port map (
D => DATAOUT1_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(1),
QN => FF_1_QN_3,
GSR => VCC);
II_FF_2: FD1P3DX port map (
D => DATAOUT2_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(2),
QN => FF_2_QN_3,
GSR => VCC);
II_FF_3: FD1P3DX port map (
D => DATAOUT3_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(3),
QN => FF_3_QN_3,
GSR => VCC);
II_FF_4: FD1P3DX port map (
D => DATAOUT4_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(4),
QN => FF_4_QN_3,
GSR => VCC);
II_FF_5: FD1P3DX port map (
D => DATAOUT5_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(5),
QN => FF_5_QN_3,
GSR => VCC);
II_FF_6: FD1P3DX port map (
D => DATAOUT6_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(6),
QN => FF_6_QN_3,
GSR => VCC);
II_FF_7: FD1P3DX port map (
D => DATAOUT7_FFIN,
SP => pop,
CK => clk_c,
CD => rst_c,
Q => datar_out0(7),
QN => FF_7_QN_3,
GSR => VCC);
II_AND2_t0: AND2 port map (
A => wr,
B => wr,
Z => DEC_WRE3);
NN_1 <= '0';
NN_2 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
entity dpram16x8_3_1 is
port(
tsr_tmp : out std_logic_vector (7 downto 0);
data_in : in std_logic_vector (7 downto 0);
top_i : in std_logic_vector (0 downto 0);
bottom : in std_logic_vector (3 downto 0);
we_en4 : in std_logic;
VCC : in std_logic;
rst_c : in std_logic;
un1_EF_1_2 : in std_logic;
GND : in std_logic;
clk_c : in std_logic;
un1_top_axbxc3_2 : in std_logic;
un1_top_axbxc2_2 : in std_logic;
un1_top_axbxc1_2 : in std_logic);
end dpram16x8_3_1;
architecture beh of dpram16x8_3_1 is
signal WDO0_11 : std_logic ;
signal WDO1_11 : std_logic ;
signal WDO0_12 : std_logic ;
signal WDO1_12 : std_logic ;
signal WDO0_13 : std_logic ;
signal WDO1_13 : std_logic ;
signal WDO0_14 : std_logic ;
signal WDO1_14 : std_logic ;
signal DATAOUT0_FFIN : std_logic ;
signal FF_0_QN_2 : std_logic ;
signal DATAOUT1_FFIN : std_logic ;
signal FF_1_QN_2 : std_logic ;
signal DATAOUT2_FFIN : std_logic ;
signal FF_2_QN_2 : std_logic ;
signal DATAOUT3_FFIN : std_logic ;
signal FF_3_QN_2 : std_logic ;
signal DATAOUT4_FFIN : std_logic ;
signal FF_4_QN_2 : std_logic ;
signal DATAOUT5_FFIN : std_logic ;
signal FF_5_QN_2 : std_logic ;
signal DATAOUT6_FFIN : std_logic ;
signal FF_6_QN_2 : std_logic ;
signal DATAOUT7_FFIN : std_logic ;
signal FF_7_QN_2 : std_logic ;
signal DEC_WRE3 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
component DPR16X2B
port(
RDO0 : out std_logic;
RDO1 : out std_logic;
WDO0 : out std_logic;
WDO1 : out std_logic;
RAD0 : in std_logic;
RAD1 : in std_logic;
RAD2 : in std_logic;
RAD3 : in std_logic;
WAD0 : in std_logic;
WAD1 : in std_logic;
WAD2 : in std_logic;
WAD3 : in std_logic;
DI0 : in std_logic;
DI1 : in std_logic;
WRE : in std_logic;
WCK : in std_logic;
GSR : in std_logic );
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -