⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 mux_generic.arch

📁 6端口寄存器IP内核VHDL源代码
💻 ARCH
字号:
-- +-----------------------------+
-- |    Library:  multiplexer    |
-- |    designer : Tim Pagden    |
-- |     opened:  7 Jul 1998     |
-- +-----------------------------+
 
-- Architectures:
--   07.07.98 behaviour
 
library ieee;
library multiplexer;
library vfp;
 
-- behaviour
architecture behaviour of mux_generic is
  use ieee.std_logic_1164.all;
  use vfp.integer_plus.to_integer;

  -- wordlength : integer; is generic

begin

  mux_a_to_y: process (a, sel)
    -- variable upper, lower : integer;
  begin
    -- upper := (to_integer(sel) + 1) * data_width;
    -- lower := to_integer(sel) * data_width;
    -- y <= a(upper-1 downto lower);
    for i in data_width-1 downto 0 loop
      y(i) <= a(to_integer(sel), i);
    end loop;
    -- y <= a(to_integer(sel), data_width-1 downto 0);
    -- gives error: prefix of a slice must be a 1-D array
  end process;

end behaviour;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -