📄 d_latch.dec
字号:
-- +-----------------------------+
-- | Library: FF |
-- | designer : Tim Pagden |
-- | opened: 6 Jun 1993 |
-- +-----------------------------+
-- Components:
-- 06.06.93 d_latch
library ieee;
package d_latch_cmpt is
use ieee.std_logic_1164.all;
component d_latch
port (
d : in std_ulogic;
enable : in std_ulogic;
q : out std_ulogic
);
end component;
end d_latch_cmpt;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -