⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 addere.vhd

📁 altera Quartus II modelSim 自動模擬搭配
💻 VHD
字号:
-- File Name: AdderE.vhd
entity AdderE is
	port(
			A,B	:	in	BIT_VECTOR(7 downto 0);
			CIN	:	in	BIT;
			COUT	:	out	BIT;
			SUM	:	out BIT_VECTOR(7 downto 0)
		);
end entity AdderE;

architecture syn of AdderE is
component Full_Adder
	port(
			X,Y,CIN	:	in	BIT;
			COUT	:	out BIT;
			SUM		:	out	BIT
		);
end component Full_Adder;
	signal C	:	BIT_VECTOR(7 downto 0); 
begin
	Stages : for i in 7 downto 0 generate
		LowBit	: if	i = 0 generate
			FA:Full_Adder port map (A(0),B(0),CIN,C(0),SUM(0));
 	    end generate;
		OtherBits : if i /= 0 generate
			FA:Full_Adder port map (A(i),B(i),C(i-1),C(i),SUM(i));
		end generate;
	end generate;
	COUT <= C(7);
end architecture syn;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -