⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 arith_lib.vhd

📁 用VHDL实现的除法器,非常好使,仿真通过了
💻 VHD
字号:
--------------------------------------------------------------------------------- Description :-- Package containing all component declarations.-------------------------------------------------------------------------------library ieee;use ieee.std_logic_1164.all;library work;use work.arith_utils.all;package arith_lib is  --type integer is (slow, medium, fast);  -----------------------------------------------------------------------------  -- Dividers / Square Root Extractors  -----------------------------------------------------------------------------  component DivArrUns    generic (widthX : integer;	     widthY : integer);    port (X : in std_logic_vector(widthX-1 downto 0);	  Y : in std_logic_vector(widthY-1 downto 0);	  Q : out std_logic_vector(widthX-widthY downto 0);	  R : out std_logic_vector(widthY-1 downto 0));  end component;    component FullAdder    port (A, B, CI : in std_logic;	  S, CO : out std_logic);  end component;  component ad01d1    port (A, B, CI : in std_logic;	  S, CO : out std_logic);  end component;end arith_lib;-------------------------------------------------------------------------------

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -