📄 display.vhd
字号:
------------------------------------------------------------------------------------ Company: -- Engineer: -- -- Create Date: 13:07:50 12/27/2006 -- Design Name: -- Module Name: display - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created-- Additional Comments: ------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity display is Port ( clk : in STD_LOGIC; display_en : in STD_LOGIC; reset : in STD_LOGIC; data : out STD_LOGIC_VECTOR (7 downto 0); seg : out STD_LOGIC_VECTOR (3 downto 0); cs : out STD_LOGIC_VECTOR (2 downto 0));end display;architecture Behavioral of display is signal count : std_logic_vector (4 downto 0); begin process(clk,reset) begin
if reset='0' then
count<="00000"; elsif rising_edge(clk) then if display_en='1' then count<=count+1; case count is-------------------------------------------------- 1 when"00000" => cs <="001"; seg <="1111"; data<="11111011"; when"00001" => cs <="100"; seg <="1111"; data<="01111111";-------------------------------------------------- 2 when"00010" => cs <="001"; seg <="1111"; data<="11111110"; when"00011" => cs <="100"; seg <="1111"; data<="10111111";-------------------------------------------------- 3 when"00100" => cs <="001"; seg <="1111"; data<="10111111"; when"00101" => cs <="100"; seg <="1111"; data<="11011111";-------------------------------------------------- 4 when"00110" => cs <="001"; seg <="1000"; data<="01111101"; when"00111" => cs <="100"; seg <="1111"; data<="11101111";-------------------------------------------------- 5 when"01000" => cs <="001"; seg <="1000"; data<="11010111"; when"01001" => cs <="100"; seg <="1000"; data<="11110111";-------------------------------------------------- 6 when"01010" => cs <="001"; seg <="0100"; data<="01111101"; when"01011" => cs <="100"; seg <="0100"; data<="11111011";-------------------------------------------------- 7 when"01100" => cs <="001"; seg <="0100"; data<="11010111"; when"01101" => cs <="100"; seg <="0100"; data<="11111101";-------------------------------------------------- 8 when"01110" => cs <="001"; seg <="0010"; data<="01111101"; when"01111" => cs <="100"; seg <="0010"; data<="11111110"; -------------------------------------------------- 9 when"10000" => cs <="001"; seg <="0010"; data<="11010111"; when"10001" => cs <="010"; seg <="0010"; data<="01111111"; -------------------------------------------------- 10 when"10010" => cs <="001"; seg <="0001"; data<="01111101"; when"10011" => cs <="010"; seg <="0001"; data<="10111111";-------------------------------------------------- 11 when"10100" => cs <="001"; seg <="0001"; data<="11010111"; when"10101" => cs <="010"; seg <="0001"; data<="11011111";-------------------------------------------------- 12顺时针转 when"10110" => cs <="001"; seg <="1000"; data<="11111011"; when"10111" => cs <="010"; seg <="1000"; data<="11101111"; -------------------------------------------------- 13顺时针转 when"11000" => cs <="001"; seg <="0100"; data<="11111011"; when"11001" => cs <="010"; seg <="0100"; data<="11110111";-------------------------------------------------- 14顺时针转 when"11010" => cs <="001"; seg <="0010"; data<="11111011"; when"11011" => cs <="010"; seg <="0010"; data<="11111011";-------------------------------------------------- 15顺时针转 when"11100" => cs <="001"; seg <="0001"; data<="11111011"; when"11101" => cs <="010"; seg <="0001"; data<="11111101";-------------------------------------------------- 16顺时针转 when"11110" => cs <="001"; seg <="0001"; data<="11110111"; when"11111" => cs <="010"; seg <="0001"; data<="11111110"; when others =>null; end case; end if; end if; end process;end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -