📄 mux21.v
字号:
`resetall
`timescale 1 ns / 1 ps
`celldefine
module MUX21 (D0, D1, SD, Z);
input D0, D1, SD;
output Z;
reg Zb;
wire D0b, D1b, SDb;
function DataSame;
input a, b;
begin
if (a === b)
DataSame = a;
else
DataSame = 1'bx;
end
endfunction
always @(D0b or D1b or SDb)
begin
case (SDb)
1'b0 : Zb = D0b;
1'b1 : Zb = D1b;
default Zb = DataSame(D0b, D1b);
endcase
end
buf (D0b, D0);
buf (D1b, D1);
buf (SDb, SD);
buf (Z, Zb);
endmodule
`endcelldefine
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -