⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 rom.vhdl

📁 FM收音机的解码及控制器VHDL语言实现
💻 VHDL
📖 第 1 页 / 共 4 页
字号:
-- $Id: rom.vhdl,v 1.1.1.1 2005/01/04 02:05:58 arif_endro Exp $--------------------------------------------------------------------------------- Title       : ROM-- Project     : FM Receiver --------------------------------------------------------------------------------- File        : rom.vhdl-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>-- Created     : 2004/10/22-- Last update : -- Simulators  : Modelsim 6.0-- Synthesizers: -- Target      : --------------------------------------------------------------------------------- Description : COS ROM (1024 = 2^10 = 10 bit maps)--------------------------------------------------------------------------------- Copyright (c) 2004 Arif E. Nugroho-- This VHDL design file is an open design; you can redistribute it and/or-- modify it and/or implement it after contacting the author-------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_arith.ALL;entity rom is  port (       address : in  bit_vector (9  downto 0);       data    : out bit_vector (7 downto 0)       );end rom;architecture data_flow of rom issignal rom_out : bit_vector (7 downto 0);begin     data <= rom_out;     with address (9 downto 0) select     rom_out <=-- START COS ROM <8,0,t> <10,0,u>	B"01111111" when B"0000000000",  -- INDEX 0	B"01111111" when B"0000000001",  -- INDEX 1	B"01111111" when B"0000000010",  -- INDEX 2	B"01111111" when B"0000000011",  -- INDEX 3	B"01111111" when B"0000000100",  -- INDEX 4	B"01111111" when B"0000000101",  -- INDEX 5	B"01111111" when B"0000000110",  -- INDEX 6	B"01111111" when B"0000000111",  -- INDEX 7	B"01111111" when B"0000001000",  -- INDEX 8	B"01111111" when B"0000001001",  -- INDEX 9	B"01111111" when B"0000001010",  -- INDEX 10	B"01111111" when B"0000001011",  -- INDEX 11	B"01111111" when B"0000001100",  -- INDEX 12	B"01111111" when B"0000001101",  -- INDEX 13	B"01111111" when B"0000001110",  -- INDEX 14	B"01111111" when B"0000001111",  -- INDEX 15	B"01111111" when B"0000010000",  -- INDEX 16	B"01111111" when B"0000010001",  -- INDEX 17	B"01111111" when B"0000010010",  -- INDEX 18	B"01111111" when B"0000010011",  -- INDEX 19	B"01111111" when B"0000010100",  -- INDEX 20	B"01111111" when B"0000010101",  -- INDEX 21	B"01111111" when B"0000010110",  -- INDEX 22	B"01111111" when B"0000010111",  -- INDEX 23	B"01111111" when B"0000011000",  -- INDEX 24	B"01111110" when B"0000011001",  -- INDEX 25	B"01111110" when B"0000011010",  -- INDEX 26	B"01111110" when B"0000011011",  -- INDEX 27	B"01111110" when B"0000011100",  -- INDEX 28	B"01111110" when B"0000011101",  -- INDEX 29	B"01111110" when B"0000011110",  -- INDEX 30	B"01111110" when B"0000011111",  -- INDEX 31	B"01111110" when B"0000100000",  -- INDEX 32	B"01111101" when B"0000100001",  -- INDEX 33	B"01111101" when B"0000100010",  -- INDEX 34	B"01111101" when B"0000100011",  -- INDEX 35	B"01111101" when B"0000100100",  -- INDEX 36	B"01111101" when B"0000100101",  -- INDEX 37	B"01111101" when B"0000100110",  -- INDEX 38	B"01111100" when B"0000100111",  -- INDEX 39	B"01111100" when B"0000101000",  -- INDEX 40	B"01111100" when B"0000101001",  -- INDEX 41	B"01111100" when B"0000101010",  -- INDEX 42	B"01111100" when B"0000101011",  -- INDEX 43	B"01111011" when B"0000101100",  -- INDEX 44	B"01111011" when B"0000101101",  -- INDEX 45	B"01111011" when B"0000101110",  -- INDEX 46	B"01111011" when B"0000101111",  -- INDEX 47	B"01111010" when B"0000110000",  -- INDEX 48	B"01111010" when B"0000110001",  -- INDEX 49	B"01111010" when B"0000110010",  -- INDEX 50	B"01111010" when B"0000110011",  -- INDEX 51	B"01111010" when B"0000110100",  -- INDEX 52	B"01111001" when B"0000110101",  -- INDEX 53	B"01111001" when B"0000110110",  -- INDEX 54	B"01111001" when B"0000110111",  -- INDEX 55	B"01111001" when B"0000111000",  -- INDEX 56	B"01111000" when B"0000111001",  -- INDEX 57	B"01111000" when B"0000111010",  -- INDEX 58	B"01111000" when B"0000111011",  -- INDEX 59	B"01110111" when B"0000111100",  -- INDEX 60	B"01110111" when B"0000111101",  -- INDEX 61	B"01110111" when B"0000111110",  -- INDEX 62	B"01110111" when B"0000111111",  -- INDEX 63	B"01110110" when B"0001000000",  -- INDEX 64	B"01110110" when B"0001000001",  -- INDEX 65	B"01110110" when B"0001000010",  -- INDEX 66	B"01110101" when B"0001000011",  -- INDEX 67	B"01110101" when B"0001000100",  -- INDEX 68	B"01110101" when B"0001000101",  -- INDEX 69	B"01110100" when B"0001000110",  -- INDEX 70	B"01110100" when B"0001000111",  -- INDEX 71	B"01110100" when B"0001001000",  -- INDEX 72	B"01110011" when B"0001001001",  -- INDEX 73	B"01110011" when B"0001001010",  -- INDEX 74	B"01110011" when B"0001001011",  -- INDEX 75	B"01110010" when B"0001001100",  -- INDEX 76	B"01110010" when B"0001001101",  -- INDEX 77	B"01110010" when B"0001001110",  -- INDEX 78	B"01110001" when B"0001001111",  -- INDEX 79	B"01110001" when B"0001010000",  -- INDEX 80	B"01110001" when B"0001010001",  -- INDEX 81	B"01110000" when B"0001010010",  -- INDEX 82	B"01110000" when B"0001010011",  -- INDEX 83	B"01101111" when B"0001010100",  -- INDEX 84	B"01101111" when B"0001010101",  -- INDEX 85	B"01101111" when B"0001010110",  -- INDEX 86	B"01101110" when B"0001010111",  -- INDEX 87	B"01101110" when B"0001011000",  -- INDEX 88	B"01101101" when B"0001011001",  -- INDEX 89	B"01101101" when B"0001011010",  -- INDEX 90	B"01101101" when B"0001011011",  -- INDEX 91	B"01101100" when B"0001011100",  -- INDEX 92	B"01101100" when B"0001011101",  -- INDEX 93	B"01101011" when B"0001011110",  -- INDEX 94	B"01101011" when B"0001011111",  -- INDEX 95	B"01101010" when B"0001100000",  -- INDEX 96	B"01101010" when B"0001100001",  -- INDEX 97	B"01101010" when B"0001100010",  -- INDEX 98	B"01101001" when B"0001100011",  -- INDEX 99	B"01101001" when B"0001100100",  -- INDEX 100	B"01101000" when B"0001100101",  -- INDEX 101	B"01101000" when B"0001100110",  -- INDEX 102	B"01100111" when B"0001100111",  -- INDEX 103	B"01100111" when B"0001101000",  -- INDEX 104	B"01100110" when B"0001101001",  -- INDEX 105	B"01100110" when B"0001101010",  -- INDEX 106	B"01100101" when B"0001101011",  -- INDEX 107	B"01100101" when B"0001101100",  -- INDEX 108	B"01100100" when B"0001101101",  -- INDEX 109	B"01100100" when B"0001101110",  -- INDEX 110	B"01100011" when B"0001101111",  -- INDEX 111	B"01100011" when B"0001110000",  -- INDEX 112	B"01100010" when B"0001110001",  -- INDEX 113	B"01100010" when B"0001110010",  -- INDEX 114	B"01100001" when B"0001110011",  -- INDEX 115	B"01100001" when B"0001110100",  -- INDEX 116	B"01100000" when B"0001110101",  -- INDEX 117	B"01100000" when B"0001110110",  -- INDEX 118	B"01011111" when B"0001110111",  -- INDEX 119	B"01011111" when B"0001111000",  -- INDEX 120	B"01011110" when B"0001111001",  -- INDEX 121	B"01011110" when B"0001111010",  -- INDEX 122	B"01011101" when B"0001111011",  -- INDEX 123	B"01011101" when B"0001111100",  -- INDEX 124	B"01011100" when B"0001111101",  -- INDEX 125	B"01011100" when B"0001111110",  -- INDEX 126	B"01011011" when B"0001111111",  -- INDEX 127	B"01011011" when B"0010000000",  -- INDEX 128	B"01011010" when B"0010000001",  -- INDEX 129	B"01011001" when B"0010000010",  -- INDEX 130	B"01011001" when B"0010000011",  -- INDEX 131	B"01011000" when B"0010000100",  -- INDEX 132	B"01011000" when B"0010000101",  -- INDEX 133	B"01010111" when B"0010000110",  -- INDEX 134	B"01010111" when B"0010000111",  -- INDEX 135	B"01010110" when B"0010001000",  -- INDEX 136	B"01010101" when B"0010001001",  -- INDEX 137	B"01010101" when B"0010001010",  -- INDEX 138	B"01010100" when B"0010001011",  -- INDEX 139	B"01010100" when B"0010001100",  -- INDEX 140	B"01010011" when B"0010001101",  -- INDEX 141	B"01010010" when B"0010001110",  -- INDEX 142	B"01010010" when B"0010001111",  -- INDEX 143	B"01010001" when B"0010010000",  -- INDEX 144	B"01010001" when B"0010010001",  -- INDEX 145	B"01010000" when B"0010010010",  -- INDEX 146	B"01001111" when B"0010010011",  -- INDEX 147	B"01001111" when B"0010010100",  -- INDEX 148	B"01001110" when B"0010010101",  -- INDEX 149	B"01001110" when B"0010010110",  -- INDEX 150	B"01001101" when B"0010010111",  -- INDEX 151	B"01001100" when B"0010011000",  -- INDEX 152	B"01001100" when B"0010011001",  -- INDEX 153	B"01001011" when B"0010011010",  -- INDEX 154	B"01001010" when B"0010011011",  -- INDEX 155	B"01001010" when B"0010011100",  -- INDEX 156	B"01001001" when B"0010011101",  -- INDEX 157	B"01001000" when B"0010011110",  -- INDEX 158	B"01001000" when B"0010011111",  -- INDEX 159	B"01000111" when B"0010100000",  -- INDEX 160	B"01000111" when B"0010100001",  -- INDEX 161	B"01000110" when B"0010100010",  -- INDEX 162	B"01000101" when B"0010100011",  -- INDEX 163	B"01000101" when B"0010100100",  -- INDEX 164	B"01000100" when B"0010100101",  -- INDEX 165	B"01000011" when B"0010100110",  -- INDEX 166	B"01000011" when B"0010100111",  -- INDEX 167	B"01000010" when B"0010101000",  -- INDEX 168	B"01000001" when B"0010101001",  -- INDEX 169	B"01000001" when B"0010101010",  -- INDEX 170	B"01000000" when B"0010101011",  -- INDEX 171	B"00111111" when B"0010101100",  -- INDEX 172	B"00111110" when B"0010101101",  -- INDEX 173	B"00111110" when B"0010101110",  -- INDEX 174	B"00111101" when B"0010101111",  -- INDEX 175	B"00111100" when B"0010110000",  -- INDEX 176	B"00111100" when B"0010110001",  -- INDEX 177	B"00111011" when B"0010110010",  -- INDEX 178	B"00111010" when B"0010110011",  -- INDEX 179	B"00111010" when B"0010110100",  -- INDEX 180	B"00111001" when B"0010110101",  -- INDEX 181	B"00111000" when B"0010110110",  -- INDEX 182	B"00111000" when B"0010110111",  -- INDEX 183	B"00110111" when B"0010111000",  -- INDEX 184	B"00110110" when B"0010111001",  -- INDEX 185	B"00110101" when B"0010111010",  -- INDEX 186	B"00110101" when B"0010111011",  -- INDEX 187	B"00110100" when B"0010111100",  -- INDEX 188	B"00110011" when B"0010111101",  -- INDEX 189	B"00110011" when B"0010111110",  -- INDEX 190	B"00110010" when B"0010111111",  -- INDEX 191	B"00110001" when B"0011000000",  -- INDEX 192	B"00110000" when B"0011000001",  -- INDEX 193	B"00110000" when B"0011000010",  -- INDEX 194	B"00101111" when B"0011000011",  -- INDEX 195	B"00101110" when B"0011000100",  -- INDEX 196	B"00101101" when B"0011000101",  -- INDEX 197	B"00101101" when B"0011000110",  -- INDEX 198	B"00101100" when B"0011000111",  -- INDEX 199	B"00101011" when B"0011001000",  -- INDEX 200	B"00101010" when B"0011001001",  -- INDEX 201	B"00101010" when B"0011001010",  -- INDEX 202	B"00101001" when B"0011001011",  -- INDEX 203	B"00101000" when B"0011001100",  -- INDEX 204	B"00100111" when B"0011001101",  -- INDEX 205	B"00100111" when B"0011001110",  -- INDEX 206	B"00100110" when B"0011001111",  -- INDEX 207	B"00100101" when B"0011010000",  -- INDEX 208	B"00100100" when B"0011010001",  -- INDEX 209	B"00100100" when B"0011010010",  -- INDEX 210	B"00100011" when B"0011010011",  -- INDEX 211	B"00100010" when B"0011010100",  -- INDEX 212	B"00100001" when B"0011010101",  -- INDEX 213	B"00100001" when B"0011010110",  -- INDEX 214	B"00100000" when B"0011010111",  -- INDEX 215	B"00011111" when B"0011011000",  -- INDEX 216	B"00011110" when B"0011011001",  -- INDEX 217	B"00011110" when B"0011011010",  -- INDEX 218	B"00011101" when B"0011011011",  -- INDEX 219	B"00011100" when B"0011011100",  -- INDEX 220	B"00011011" when B"0011011101",  -- INDEX 221	B"00011011" when B"0011011110",  -- INDEX 222	B"00011010" when B"0011011111",  -- INDEX 223	B"00011001" when B"0011100000",  -- INDEX 224	B"00011000" when B"0011100001",  -- INDEX 225	B"00011000" when B"0011100010",  -- INDEX 226	B"00010111" when B"0011100011",  -- INDEX 227	B"00010110" when B"0011100100",  -- INDEX 228

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -