虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 汇编语言 > 伪随机序列码发生器及基带传输CMI码编、译码的VHDL语言实现

伪随机序列码发生器及基带传输CMI码编、译码的VHDL语言实现

  • 资源大小:176 K
  • 上传时间: 2014-01-18
  • 上传用户:muye0422
  • 资源积分:2 下载积分
  • 标      签: VHDL CMI 伪随机序列 发生器

资 源 简 介

伪随机序列码发生器及基带传输CMI码编、译码的VHDL语言实现

相 关 资 源