📄 bcd4adder.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
entity BCD4Adder is
port(BCDa, BCDb: in std_logic_vector(3 downto 0);
BCDs: out std_logic_vector(3 downto 0);
BCDcarry: out std_logic );
end entity;
architecture Impl of BCD4Adder is
component F4a_adder
port(a, b: in std_logic_vector(3 downto 0);
s: out std_logic_vector(3 downto 0);
carry: out std_logic );
end component;
signal zero:std_logic;
signal tmpc1, tmpc2: std_logic;
signal tmp:std_logic_vector(3 downto 0);
signal six:std_logic_vector(3 downto 0);
begin
zero<='0';
u1: F4a_adder port map
(a=>BCDa, b=>BCDb, s=>tmp, carry=>tmpc1);
tmpc2<=tmpc1 or ((tmp(3) and tmp(1))or(tmp(3) and tmp(2)));
six(0)<=zero;
six(3)<=zero;
six(1)<=tmpc2;
six(2)<=tmpc2;
BCDcarry<=tmpc2;
u2: F4a_adder port map
(a=>six, b=>tmp, s=>BCDs);
end architecture Impl;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -