📄 sc_main.cpp
字号:
//File: sc_main.cpp
#include "systemc.h"
SC_MODULE (hello)
{
sc_in<bool>clk,reset;
sc_out<sc_bit>q;
sc_uint<4> temp1,temp2;
void hello1()
{
if(reset)
{
temp1=0;
temp2=0;
}
else
if(temp1>=temp2)
{
if(temp2>=0)
{
temp2=temp2-1;
}
else
{
temp2=15;
}
temp1=0;
q=~q;
}
else
{
temp1=temp1+1;
}
//cout<<"temp1="<<temp1<<'\n';
//cout<<"temp2="<<temp2<<'\n';
cout<<"q="<<q<<'\n';
}
SC_CTOR (hello)
{
SC_METHOD(hello1);
sensitive_pos<<clk<<reset;
}
};
int sc_main(int argc,char *argv[])
{
sc_signal<bool>reset;
sc_signal<sc_bit>q;
sc_clock clk;
sc_trace_file *tf;
reset=sc_bit('0');
cout<<"hello world, start!!"<<'\n';
hello he("he1");
he.clk(clk);
he.reset(reset);
he.q(q);
tf=sc_create_vcd_trace_file("vcdout");
sc_trace(tf,clk,"clock");
sc_trace(tf,reset,"reset");
sc_trace(tf,q,"out");
sc_start(400);
sc_close_vcd_trace_file(tf);
return 0;
}
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -