📄 genericinterruptblockcomponent.package.vhd
字号:
-------------------------------------------------------------------------------------------- Copyright (c) 1999 by ALCATEL. All rights reserved.---- Filename : GenericInterruptBlockComponent.Package.vhd---- Purpose : ---- Limitations : none---- Authors : welch---- Projects : mse---- Tools : Synopsys Design Compiler 9808-- Cadence Leapfrog 97A---- Reference : 1AB 15160 AAAA PEZZA---- Coding Std. : Release 2.1------------------------------------------------------------------------------------------library IEEE;use IEEE.std_logic_1164.all;library work;use work.pkg_GenericInterruptBlockTypes.all;package GenericInterruptBlockComponent is component GenericInterruptBlock generic ( EventType : integer:=c_PosLevel; IMRResetValue : integer:=1 ); port ( arst_NotReset : in boolean; clk_Main : in std_ulogic; BciAddress : in std_ulogic_vector(2 downto 0); BciReadData : out std_ulogic; BciRdNWr : in boolean; BciWriteData : in std_ulogic; BciBlockSelect : in boolean; InterruptSource : in std_ulogic; Interrupt : out std_ulogic ); end component;end GenericInterruptBlockComponent;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -