📄 pkg_genericinterruptblocktypes.package.vhd
字号:
-------------------------------------------------------------------------------------------- Copyright (c) 1999 by ALCATEL. All rights reserved.---- Filename : pkg_GenericInterruptBlockTypes.Package.vhd---- Purpose : ---- Limitations : none---- Authors : welch---- Projects : mse---- Tools : Synopsys Design Compiler 9808-- Cadence Leapfrog 97A---- Reference : 1AB 15160 AAAA PEZZA---- Coding Std. : Release 2.1------------------------------------------------------------------------------------------library ieee;use ieee.std_logic_1164.all;package pkg_GenericInterruptBlockTypes is -- -- this constants are used as generics for customizing a single -- interrupt cell -- subtype s_GIBEventGeneric is integer range 0 to 4; subtype s_GIBIMRResetGeneric is integer range 0 to 1; constant c_PosLevel : integer:=0; constant c_NegLevel : integer:=1; constant c_Edge : integer:=2; constant c_PosEdge : integer:=3; constant c_NegEdge : integer:=4; constant c_ICRCAddress : std_ulogic_vector(2 downto 0):="000"; constant c_IMRAddress : std_ulogic_vector(2 downto 0):="001"; constant c_IERAddress : std_ulogic_vector(2 downto 0):="010"; constant c_IARCAddress : std_ulogic_vector(2 downto 0):="011"; constant c_ICRSAddress : std_ulogic_vector(2 downto 0):="100"; constant c_ISRAddress : std_ulogic_vector(2 downto 0):="101"; end pkg_GenericInterruptBlockTypes;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -