📄 pkg_full_adder.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
package pkg_Full_Adder is
component Full_Adder
port(
in1 : in std_logic;
in2 : in std_logic;
carryin : in std_logic;
sum : out std_logic;
carryout: out std_logic
);
end component;
end pkg_Full_Adder;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -