⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 sin_rom.vhd

📁 我自己用vhdl实现编的dds
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
entity sin_rom is
   port(address:in std_logic_vector(9 downto 0);
        inclockLin std_logic;
        q:out std_logic_vector(9 downto 0));
end sin_rom;
architecture syn of sin_rom is
signal sub_wire0:std_logic_vector(9 downto 0);
component lpm_rom
generic(lpm_width:natural;
        lpm_widthad:natural;
        lpm_address_control:string;
        lpm_outdata:string;
        lpm_file:string;
 port (address:in std_logic_vectoe(9 downto 0);
       inclock:in std_logic;
             q:out std_logic_vector(9 downto 0);
 end port;
begin
   q<=sub_wire0(9 downto 0);
   lpm_rom_component:lpm_rom generic map(lpm_width=>10,
              lpm_widthad=>10,lpm_address_control=>"registered",
              lpm_file=>"lut10x10.mif")
    port map(address=>address, inclock=>inclock,q=>sub_wire0);
end syn;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -