📄 oaverilogscanner.lpp
字号:
CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYBUFIF0); }<MODULE,UDP,SPECIFY>bufif1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYBUFIF1); }<MODULE,UDP,SPECIFY>buf { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYBUF); }<MODULE,UDP,SPECIFY>casex { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCASEX); }<MODULE,UDP,SPECIFY>casez { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCASEZ); }<MODULE,UDP,SPECIFY>case { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCASE); }<MODULE,UDP,SPECIFY>cmos { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCMOS); }<MODULE,UDP,SPECIFY>deassign { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYDEASSIGN); }<MODULE,UDP,CONFIG,SPECIFY>default { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYDEFAULT); }<MODULE,UDP,SPECIFY>defparam { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYDEFPARAM); }<MODULE,UDP,SPECIFY>disable { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYDISABLE); }<MODULE,UDP,SPECIFY>edge { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYEDGE); }<MODULE,UDP,SPECIFY>else { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYELSE); }<MODULE,UDP,SPECIFY>endcase { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYENDCASE); }<MODULE,UDP,SPECIFY>endfunction { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYENDFUNCTION); }<MODULE,UDP,SPECIFY>endgenerate { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYENDGENERATE); }<MODULE,UDP,SPECIFY>endtask { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYENDTASK); }<MODULE,UDP,SPECIFY>end { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYEND); }<MODULE,UDP,SPECIFY>event { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYEVENT); }<MODULE,UDP,SPECIFY>force { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYFORCE); }<MODULE,UDP,SPECIFY>forever { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYFOREVER); }<MODULE,UDP,SPECIFY>fork { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYFORK); }<MODULE,UDP,SPECIFY>for { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYFOR); }<MODULE,UDP,SPECIFY>function { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYFUNCTION); }<MODULE,UDP,SPECIFY>generate { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYGENERATE); }<MODULE,UDP,SPECIFY>genvar { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYGENVAR); }<MODULE,UDP,SPECIFY>highz0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYHIGHZ0); }<MODULE,UDP,SPECIFY>\({Space}*highz0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENHIGHZ0); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*highz0 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENHIGHZ0); }<MODULE,UDP,SPECIFY>highz1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYHIGHZ1); }<MODULE,UDP,SPECIFY>\({Space}*highz1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENHIGHZ1); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*highz1 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENHIGHZ1); }<MODULE,UDP,SPECIFY>if { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYIF); }<MODULE,UDP,SPECIFY>ifnone { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYIFNONE); }<MODULE,UDP,SPECIFY>initial { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYINITIAL); }<MODULE,UDP,SPECIFY>inout { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYINOUT); }<MODULE,UDP,SPECIFY>,{Space}*inout{Space} { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINOUT); }<MODULE,UDP,SPECIFY>,{Space}*inout{Newline} { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINOUT); }<MODULE,UDP,SPECIFY>,{Space}*{Newline}{Space}*inout{Space} { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINOUT); }<MODULE,UDP,SPECIFY>,{Space}*{Newline}{Space}*inout{Newline} { fileLineNumber[includeStackPtr]+=2; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINOUT); }<MODULE,UDP,SPECIFY>input { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYINPUT); }<MODULE,UDP,SPECIFY>,{Space}*input{Space} { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINPUT); }<MODULE,UDP,SPECIFY>,{Space}*input{Newline} { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINPUT); }<MODULE,UDP,SPECIFY>,{Space}*{Newline}{Space}*input{Space} { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINPUT); }<MODULE,UDP,SPECIFY>,{Space}*{Newline}{Space}*input{Newline} { fileLineNumber[includeStackPtr]+=2; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAINPUT); }<MODULE,UDP,SPECIFY>integer { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYINTEGER); }<MODULE,UDP,SPECIFY>join { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYJOIN); }<MODULE,UDP,SPECIFY>large { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYLARGE); }<MODULE,UDP,SPECIFY>localparam { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYLOCALPARAM); }<MODULE,UDP,SPECIFY>medium { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYMEDIUM); }<MODULE,UDP,SPECIFY>nand { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYNAND); }<MODULE,UDP,SPECIFY>negedge { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYNEGEDGE); }<MODULE,UDP,SPECIFY>nmos { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYNMOS); }<MODULE,UDP,SPECIFY>nor { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYNOR); }<MODULE,UDP,SPECIFY>notif0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYNOTIF0); }<MODULE,UDP,SPECIFY>notif1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYNOTIF1); }<MODULE,UDP,SPECIFY>not { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYNOT); }<MODULE,UDP,SPECIFY>or { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYOR); }<MODULE,UDP,SPECIFY>output { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYOUTPUT); }<MODULE,UDP,SPECIFY>,{Space}*output{Space} { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAOUTPUT); }<MODULE,UDP,SPECIFY>,{Space}*output{Newline} { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAOUTPUT); }<MODULE,UDP,SPECIFY>,{Space}*{Newline}{Space}*output{Space} { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAOUTPUT); }<MODULE,UDP,SPECIFY>,{Space}*{Newline}{Space}*output{Newline} { fileLineNumber[includeStackPtr]+=2; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYCOMMAOUTPUT); }<MODULE,UDP,SPECIFY>parameter { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARAMETER); }<MODULE,UDP,SPECIFY>pmos { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPMOS); }<MODULE,UDP,SPECIFY>posedge { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPOSEDGE); }<MODULE,UDP,SPECIFY>pull0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPULL0); }<MODULE,UDP,SPECIFY>\({Space}*pull0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENPULL0); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*pull0 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENPULL0); }<MODULE,UDP,SPECIFY>pull1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPULL1); }<MODULE,UDP,SPECIFY>\({Space}*pull1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENPULL1); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*pull1 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENPULL1); }<MODULE,UDP,SPECIFY>pulldown { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPULLDOWN); }<MODULE,UDP,SPECIFY>pullup { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPULLUP); }<MODULE,UDP,SPECIFY>rcmos { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYRCMOS); }<MODULE,UDP,SPECIFY>realtime { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYREALTIME); }<MODULE,UDP,SPECIFY>real { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYREAL); }<MODULE,UDP,SPECIFY>reg { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYREG); }<MODULE,UDP,SPECIFY>release { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYRELEASE); }<MODULE,UDP,SPECIFY>repeat { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYREPEAT); }<MODULE,UDP,SPECIFY>rnmos { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYRNMOS); }<MODULE,UDP,SPECIFY>rpmos { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYRPMOS); }<MODULE,UDP,SPECIFY>rtranif0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYRTRANIF0); }<MODULE,UDP,SPECIFY>rtranif1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYRTRANIF1); }<MODULE,UDP,SPECIFY>rtran { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYRTRAN); }<MODULE,UDP,SPECIFY>scalared { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSCALARED); }<MODULE,UDP,SPECIFY>signed { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSIGNED); }<MODULE,UDP,SPECIFY>small { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSMALL); }<MODULE,UDP>specify { yy_push_state(SPECIFY); CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSPECIFY); }<MODULE,UDP,SPECIFY>specparam { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSPECPARAM); }<MODULE,UDP,SPECIFY>strong0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSTRONG0); }<MODULE,UDP,SPECIFY>\({Space}*strong0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSTRONG0); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*strong0 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSTRONG0); }<MODULE,UDP,SPECIFY>strong1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSTRONG1); }<MODULE,UDP,SPECIFY>\({Space}*strong1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSTRONG1); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*strong1 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSTRONG1); }<MODULE,UDP,SPECIFY>supply0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSUPPLY0); }<MODULE,UDP,SPECIFY>\({Space}*supply0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSUPPLY0); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*supply0 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSUPPLY0); }<MODULE,UDP,SPECIFY>supply1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYSUPPLY1); }<MODULE,UDP,SPECIFY>\({Space}*supply1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSUPPLY1); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*supply1 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENSUPPLY1); }<MODULE,UDP,SPECIFY>task { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTASK); }<MODULE,UDP,SPECIFY>time { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTIME); }<MODULE,UDP,SPECIFY>tranif0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRANIF0); }<MODULE,UDP,SPECIFY>tranif1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRANIF1); }<MODULE,UDP,SPECIFY>tran { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRAN); }<MODULE,UDP,SPECIFY>tri0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRI0); }<MODULE,UDP,SPECIFY>tri1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRI1); }<MODULE,UDP,SPECIFY>triand { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRIAND); }<MODULE,UDP,SPECIFY>trior { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRIOR); }<MODULE,UDP,SPECIFY>trireg { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRIREG); }<MODULE,UDP,SPECIFY>tri { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYTRI); }<MODULE,UDP,SPECIFY>unsigned { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYUNSIGNED); }<MODULE,UDP,SPECIFY>vectored { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYVECTORED); }<MODULE,UDP,SPECIFY>wait { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYWAIT); }<MODULE,UDP,SPECIFY>wand { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYWAND); }<MODULE,UDP,SPECIFY>weak0 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYWEAK0); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*weak0 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENWEAK0); }<MODULE,UDP,SPECIFY>weak1 { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYWEAK1); }<MODULE,UDP,SPECIFY>\({Space}*{Newline}{Space}*weak1 { fileLineNumber[includeStackPtr]++; CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYPARENWEAK1); }<MODULE,UDP,SPECIFY>while { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYWHILE); }<MODULE,UDP,SPECIFY>wire { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYWIRE); }<MODULE,UDP,SPECIFY>wor { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYWOR); }<MODULE,UDP,SPECIFY>xnor { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYXNOR); }<MODULE,UDP,SPECIFY>xor { CONSTANT_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYXOR); }<LIBRARY>-incdir { VARIABLE_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYINCDIR); }<LIBRARY>";" { yy_pop_state(); CONSTANT_TOKEN_ACTION; YYRULE_RETURN_TEXT_ACTION(yytext[0]); }<INCLUDE>";" { yy_pop_state(); CONSTANT_TOKEN_ACTION; YYRULE_RETURN_TEXT_ACTION(yytext[0]); }<INCLUDE,LIBRARY>{PathChars}+ { VARIABLE_TOKEN_ACTION; YYRULE_RETURN_ACTION(YYFILE_PATH); }<LIBRARYID>{AlphaU}{AlphaNumUD}* { VARIABLE_TOKEN_ACTION; yy_pop_state(); YYRULE_RETURN_ACTION(YYSIMPLE_IDENTIFIER); }<LIBRARYID>\\{Allchar}+ { /*----------------------------------------------- * Identifiers may use any character by escaping * with a "\" at the beginning of the identifier * and ending with a whitespace. *----------------------------------------------- */ VARIABLE_TOKEN_ACTION; /* * Keep the escape char and append whitespace
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -