⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 led.vhd

📁 具有多种功能的电子钟:闹钟
💻 VHD
字号:
library ieee;                    --综合
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity led is
  port(clk:in std_logic;
	   keya:in std_logic;           --a确认
       keyb:in std_logic;           --b取消      
       keyc:in std_logic;           --c报时开关;
       keyd:in std_logic;           -- d闹钟开关   
       keye:in std_logic;           --e设置时间
       keyf:in std_logic;           --f设置闹钟 
       keyg:in std_logic;           -- g数值增加
       sound_signal:out std_logic;                     --蜂鸣器驱动
       led_s:out std_logic_vector(2 downto 0);
       ledout:out std_logic_vector(6 downto 0));
end led;
architecture ff of led is
component controll
   port(clk:in std_logic;                  
	   keya:in std_logic;           --a确认
       keyb:in std_logic;           --b取消      
       keyc:in std_logic;           --c报时开关;
       keyd:in std_logic;           -- d闹钟开关   
       keye:in std_logic;           --e设置时间
       keyf:in std_logic;           --f设置闹钟 
       keyg:in std_logic;           -- g数值增加
       displaytime: out std_logic_vector(23 downto 0); --显示时间
       sound_signal:out std_logic);                     --蜂鸣器驱动
   end component;

component display
  port (clk:in std_logic;
	   displaytime: in std_logic_vector(23 downto 0);
       led_s:out std_logic_vector(2 downto 0);
      ledout:out std_logic_vector(6 downto 0));
end component;

signal displaytime:std_logic_vector(23 downto 0);
begin
  u1:controll
      port map(clk,keya,keyb,keyc,keyd,keye,keyf,keyg,displaytime,sound_signal);
 u2: display
  port map(clk,displaytime,led_s,ledout);
end ff;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -