📄 peri_serial_comp.vhd
字号:
library IEEE;use IEEE.std_logic_1164.all;use work.amba.all;package peri_serial_comp istype uart_in_type is record rxd : std_logic; ctsn : std_logic; scaler : std_logic_vector(7 downto 0);end record;type uart_out_type is record rxen : std_logic; txen : std_logic; flow : std_logic; irq : std_logic; rtsn : std_logic; txd : std_logic;end record;component uart port ( rst : in std_logic; clk : in std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; uarti : in uart_in_type; uarto : out uart_out_type );end component; end peri_serial_comp;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -