虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 通讯编程文档 > 用vhdl做得CPLD静态两位数码管扫描 显示“10”两位数码管公用段选

用vhdl做得CPLD静态两位数码管扫描 显示“10”两位数码管公用段选

  • 资源大小:243 K
  • 上传时间: 2016-11-08
  • 上传用户:hxyw
  • 资源积分:2 下载积分
  • 标      签: vhdl CPLD 数码管

资 源 简 介

用vhdl做得CPLD静态两位数码管扫描 显示“10”两位数码管公用段选

相 关 资 源