虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 其他书籍 > 相位比较器的VHDL实现程序

相位比较器的VHDL实现程序

  • 资源大小:2 K
  • 上传时间: 2015-12-02
  • 上传用户:l2335800
  • 资源积分:2 下载积分
  • 标      签: VHDL 相位比较器 程序

资 源 简 介

相位比较器的VHDL实现程序,现行的相位比较器结构往往十分复杂,难于实现。而在一些对精度要求不是很高的领域,简单灵活的相位比较算法有着广阔的市场。

相 关 资 源

您 可 能 感 兴 趣 的