虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 其他 > FPGA数字钟的设计

FPGA数字钟的设计

  • 资源大小:264 K
  • 上传时间: 2015-07-25
  • 上传用户:tiger452
  • 资源积分:2 下载积分
  • 标      签: 数字

资 源 简 介

FPGA数字钟的设计,用VHDL语言编程,max+plus仿真,可在实际电路中验证

相 关 资 源

您 可 能 感 兴 趣 的