虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/Verilog/EDA源码 > 波形发生程序

波形发生程序

  • 资源大小:68 K
  • 上传时间: 2013-04-24
  • 上传用户:yoursmine
  • 资源积分:2 下载积分
  • 标      签: 波形发生 程序

资 源 简 介

实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出。

相 关 资 源