虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用verilog实现滤波器的功能

用verilog实现滤波器的功能

  • 资源大小:218 K
  • 上传时间: 2013-12-14
  • 上传用户:guozhongjiesg02
  • 资源积分:2 下载积分
  • 标      签: verilog 滤波器

资 源 简 介

用verilog实现滤波器的功能,通过软件综合仿真,在利用FPGA实现

相 关 资 源