虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 文章/文档 > 基于VHDL语言的函数发生器的设计

基于VHDL语言的函数发生器的设计

  • 资源大小:358 K
  • 上传时间: 2017-07-17
  • 上传用户:yhb71181491615
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 函数发生器

资 源 简 介

基于VHDL语言的函数发生器的设计,基于FPGA的波形发生器

相 关 资 源