虫虫首页|资源下载|资源专辑|精品软件
登录|注册

波形发生器

波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常。用一般的信号发生器,不但笨重,而且只发一些简单的波形,不能满足需要。例如用户要调试串口通信程序时,就要在计算机上写好一段程序,再用线连接计算机和用户实验板,如果不正常,不知道是通讯线有问题还是程序有问题。用E2000/L的波形发生器功能,就可以定义串口数据。通过逻辑探勾输出,调试起来简单快捷。
  • AFG310-AFG320任意波形发生器 用户手册

    AFG310-AFG320任意波形发生器 用户手册

    标签: AFG 310 320 任意波形发生器

    上传时间: 2013-04-15

    上传用户:eeworm

  • AFG310-AFG320任意波形发生器-用户手册-93页-6.5M.pdf

    专辑类-测试技术专辑-134册-1.93G AFG310-AFG320任意波形发生器-用户手册-93页-6.5M.pdf

    标签: AFG 310 320 6.5

    上传时间: 2013-07-31

    上传用户:zhyiroy

  • 基于FPGA的任意波形发生器的研究与设计.rar

    随着科学技术的飞速发展,电子测量技术被广泛应用在电子、机械、医疗、测控及航天等各个领域,而电子测量技术要用到各种形式的高质量信号源,因此任意波形发生器的研制就具有非常重要的现实意义。 本文便是基于DDS(DirectDigitalSynthesis)技术进行任意波形发生器研制的。要求可以产生正弦波、方波、三角波与锯齿波等常规波形,而且能够产生任意波形,从而满足研究的需要。具体工作如下: (一)介绍国内外关于任意波形发生器研究的发展情况,阐述频率合成技术的各种方式与技术对比情况,并选定直接数字频率合成技术进行研制。 (二)介绍系统的硬件设计构成与功能实现,并对系统部件进行逐一细述。选用单片机作为控制模块,使用FPGA实现DDS功能作为技术核心,并对外围电路的设计与接口技术进行分析。 (三)讲述DDS的工作原理、工作特点与技术指标,并基于FPGA芯片EP1C3T144C8进行设计,通过使用相位累加器与波形ROM等模块,实现DDS功能。同时辅以使能模块与行列式键盘,实现各种波形的灵活输出。 (四)给出系统产生的测试数据,并对影响频谱纯度的杂散与噪声产生的原因进行分析。

    标签: FPGA 任意波形发生器

    上传时间: 2013-04-24

    上传用户:diets

  • 基于FPGA的DDS双通道波形发生器.rar

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道

    上传时间: 2013-06-08

    上传用户:wxhwjf

  • 基于FPGA的任意波形发生器的设计与实现.rar

    随着国民经济的发展和社会的进步,人们越来越需要便捷的交通工具,从而促进了汽车工业的发展,同时汽车发动机检测维修等相关行业也发展起来。在汽车发动机检测维修中,发动机电脑(Electronic Control.Unit-ECU)检测维修是其中最关键的部分。发动机电脑根据发动机的曲轴或凸轮轴传感器信号控制发动机的喷油、点火和排气。所以,维修发动机电脑时,必须对其施加正确的信号。目前,许多发动机的曲轴和凸轮轴传感器信号已不再是正弦波和方波等传统信号,而是多种复杂波形信号。为了能够提供这种信号,本文研究并设计了一种能够产生复杂波形的低成本任意波形发生器(Arbitrary Waveform Generator-AWG)。 本文提出的任意波形发生器依据直接数字频率合成(Direct Digial FrequencySynthesis-DDFS)原理,采用自行设计现场可编程门阵列(FPGA)的方案实现频率合成,扩展数据存储器存储波形的量化幅值(波形数据),在微控制单元(MCU)的控制与协调下输出频率和相位均可调的信号。 任意波形发生器主要由用户控制界面、DDFS模块、放大及滤波、微控制器系统和电源模块五部分组成。在设计中采用FPGA芯片EPF10K10QC208-4实现DDFS的硬件算法。波形调整及滤波由两级放大电路来完成:第一级对D/A输出信号进行调整;第二级完成信号滤波及信号幅值和偏移量的调节。电源模块利用三端集成稳压器进行电压值变换,利用极性转换芯片ICL7660实现正负极性转换。 该任意波形发生器与通用模拟信号源相比具有:输出频率误差小,分辨率高,可产生任意波形,成本低,体积小,使用方便,工作稳定等优点,十分适合汽车维修行业使用,具有较好的市场前景。

    标签: FPGA 任意波形发生器

    上传时间: 2013-05-27

    上传用户:cylnpy

  • 基于FPGA的DDS双通道波形发生器

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道 波形发生器

    上传时间: 2013-04-24

    上传用户:gxf2016

  • 基于AD9833的高精度可编程波形发生器系统设计

    基于AD9833的高精度可编程波形发生器系统设计:介绍一种基于AD9833的高精度可编程波形发生器系统解决方案,该系统具有可编程设置、波形频率和峰峰值等功能,从而解决DDS输出波形峰峰值不能直接

    标签: 9833 AD 高精度 可编程

    上传时间: 2013-04-24

    上传用户:ecooo

  • 基于FPGA的任意波形发生器

    随着国民经济的发展和社会的进步,人们越来越需要便捷的交通工具,从而促进了汽车工业的发展,同时汽车发动机检测维修等相关行业也发展起来。在汽车发动机检测维修中,发动机电脑(Electronic Control.Unit-ECU)检测维修是其中最关键的部分。发动机电脑根据发动机的曲轴或凸轮轴传感器信号控制发动机的喷油、点火和排气。所以,维修发动机电脑时,必须对其施加正确的信号。目前,许多发动机的曲轴和凸轮轴传感器信号已不再是正弦波和方波等传统信号,而是多种复杂波形信号。为了能够提供这种信号,本文研究并设计了一种能够产生复杂波形的低成本任意波形发生器(Arbitrary Waveform Generator-AWG)。 本文提出的任意波形发生器依据直接数字频率合成(Direct Digial FrequencySynthesis-DDFS)原理,采用自行设计现场可编程门阵列(FPGA)的方案实现频率合成,扩展数据存储器存储波形的量化幅值(波形数据),在微控制单元(MCU)的控制与协调下输出频率和相位均可调的信号。 任意波形发生器主要由用户控制界面、DDFS模块、放大及滤波、微控制器系统和电源模块五部分组成。在设计中采用FPGA芯片EPF10K10QC208-4实现DDFS的硬件算法。波形调整及滤波由两级放大电路来完成:第一级对D/A输出信号进行调整;第二级完成信号滤波及信号幅值和偏移量的调节。电源模块利用三端集成稳压器进行电压值变换,利用极性转换芯片ICL7660实现正负极性转换。 该任意波形发生器与通用模拟信号源相比具有:输出频率误差小,分辨率高,可产生任意波形,成本低,体积小,使用方便,工作稳定等优点,十分适合汽车维修行业使用,具有较好的市场前景。

    标签: FPGA 任意波形发生器

    上传时间: 2013-04-24

    上传用户:KIM66

  • 高频精密函数波形发生器设计

    介绍了一种由MAX038和MC145151构成的精密函数波形发生器,该发生器可输出正弦波、矩形波、三角波信号,输出频率能在8kHz~16MHz范围内调整,调整增幅为1kHz,可作为通用的高频精密函数波形发生器

    标签: 高频 精密 函数 波形发生器

    上传时间: 2013-06-18

    上传用户:zhang469965156

  • 波形发生器设计论文

    波形发生器设计论文:本系统主要以单片机为控制核心,由可编程逻辑器件(CPLD)模块、键盘输入模块、LED显示模块、双口RAMIDT7132、DA转换输出、rom、巴特沃斯有源低通滤波器等部件组成。采用

    标签: 波形发生器 论文

    上传时间: 2013-07-07

    上传用户:ma1301115706