虫虫首页|资源下载|资源专辑|精品软件
登录|注册

电梯门

  • 电梯门禁系统:包括系统原理图

    电梯门禁系统:包括系统原理图,通信流程图和C语言源程序 AT89C52控制H6152读卡器读写Mifare射频卡并通过CAN总线连接系统主机,CAN总线控制器为SJA1000,收发器为P82C250.

    标签: 电梯 原理图 门禁系统

    上传时间: 2014-01-06

    上传用户:jhksyghr

  • 直线电机驱动电梯门机及其控制系统.rar

    传统的电梯门机采用的是直流或交流旋转电机来实现。前者调速性能好,但由于存在换向器、电磁火花和干扰,可靠性差;后者虽然电机结构相对简单,但控制复杂,性能差。两者都需要通过一些复杂的传动机构将电机旋转运动转换为直线运动以实现电梯门的开/关。 本文设计了一种采用扁平型直线感应电机驱动的电梯门机及其微机控制系统,提出了一种适用于该系统的恒压调频(CVVF)控制方式并设计了开/关门运行曲线;另外,通过Maxwell2D有限元软件分析了电机的磁场和起动推力特性。论文中给出了样机的实验结果,其性能已达到预定的要求。

    标签: 直线电机 电梯门 控制系统

    上传时间: 2013-06-14

    上传用户:zgu489

  • 以单片微机87C196MC为核心的电梯门机变频调速控制系统

    电梯的开关门过程是一个变速运动过程 ,需要对电梯门系统的驱动电机进行调速控制;本文提出了一种以高性能单片微机87C196MC 为核心的电梯门机变频调速控制系统,功率驱动电路采用驱动MOSFET 的专用集成电路IR2130;分析了基于PWM 技术控制电梯门机运行的方法;采用单片微机和功率驱动专用集成电路将门系统电机的交流变频器和驱动控制器集为一体,得到了一种可靠性高、控制灵活、成本低、体积小的电梯门机控制器。关键字:变频器;正弦脉宽调制;电梯门机系统 电梯的门机系统是电梯的一个非常重要的子系统。门机系统性能的优劣直接关系着整个电梯系统能否正常地运行。所以说,对门机系统的设计开发及制造是电梯系统设计开发及制造的一个关键环节。从控制这个角度来说,研究的重点应侧重于如何把先进的变频调速技术应用到门机系统中,使门机系统能高效经济可靠地运行。在目前的工程实践中,交流电机的变频调速策略主要有两种方法,即正弦脉宽调制方法(SPWM)和空间矢量脉宽调制方法(SVPWM)。其中SPWM 的基本原理就是用正弦波和高频三角载波比较产生PWM 脉冲序列:当基波(正弦波)高于三角载波时,相应的开关器件导通,反之,当基波低于三角载波时,相应的开关器件截止。产生的PWM 脉冲序列作为逆变器功率开关器件的驱动控制信号。本电梯门机变频调速系统就是采用SPWM 调制方法,采用INTEL 公司的16 位高性能微控制器87C196MC 作为核心控制芯片,由87C196MC 的PWM 波形发生模块产生PWM 信号去驱动功率电路,从而带动门机按照预先设定的运行曲线运行。

    标签: C196 196 87C 87

    上传时间: 2013-10-16

    上传用户:zhaoman32

  • 设计一个6层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关

    设计一个6层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。 3、电梯每秒升降一层楼。 4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 5、电梯能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至有电梯响应后消除。 6、初始状态为一层开门,第一层不用向下开关,最高层不用向上开关。 7、电梯运行规则:当电梯上升时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时与上升正好相反。

    标签: 电梯控制器 电梯 开关 自动

    上传时间: 2015-10-25

    上传用户:思琦琦

  • 8层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关

    8层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。 3、电梯每秒升降一层楼。 4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 5、电梯能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至有电梯响应后消除。 6、初始状态为一层开门,第一层不用向下开关,最高层不用向上开关。 7、电梯运行规则:当电梯上升时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时与上升正好相反。

    标签: 电梯控制器 电梯 开关 自动

    上传时间: 2014-02-05

    上传用户:xmsmh

  • 采用Verilog HDL语言编写的实用电梯控制器

    采用Verilog HDL语言编写的实用电梯控制器,这是一个在实验室里模拟的项目,分为主控制器与分控制器,主控制器完成运行方向、显示楼层、关开电梯门、与分控制器通讯等功能;分控制器是在每一层的设备,实现显示电梯当前所在楼层、接收乘客上升下降要求等功能。此代码对控制类相关的学习者价值很高,

    标签: Verilog HDL 语言 编写

    上传时间: 2014-01-23

    上传用户:日光微澜

  • 一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关

    一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。 6、 电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求时,则直接升到有下降请求的最高楼接客,然后进入下降模式,但电梯处于下降模式时,则与上升模式相反。 7、 电梯初始状态为一层门开。

    标签: VHDL 电梯控制器 电梯 开关

    上传时间: 2016-03-23

    上传用户:ryb

  • 每层电梯入口处设有上下请求开关各1个

    每层电梯入口处设有上下请求开关各1个,电梯内设有乘客到达层次的数字开关。电梯当前所在的楼层位置用一位数码管显示,用一只发光二极管显示开门/关门状态,用发光二极管显示每层的上下请求状态。 显示电梯当前所处位置和电梯上行下行及开门,关门状态; 电梯每2秒升降一层梯; 电梯到达有停靠站请求的楼层后,电梯门就会自动打开门指示灯亮,开门5秒钟后,电梯门自动关闭(开门指示灯灭)电梯继续运行。 对电梯开门时间可以要求延长,每按一次开门可延长5秒,可以连续使用,也可以提前关门(按关门按钮)。 能记忆电梯内外的所有请求信号,并按照电梯运行的规则次第响应,每个请求信号保留至执行后撤除,如到达某层后,上下方均无请求,则电梯停在该层,中止运行。 问题补充:搞清楚状态机是怎样的就行。 如果能有例子更是感激不尽 刚注册只有这么点分,希望大家别嫌少

    标签: 电梯 开关

    上传时间: 2014-01-04

    上传用户:dave520l

  • 1. 每层电梯入口处设有上下请求开关

    1. 每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关; 2. 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置; 3. 电梯每秒升(降)一层楼; 4. 电梯到达有停站请求的楼层后,经过1秒电梯门打开(开门指示灯亮),开门4秒后,电梯门关闭(开门指示灯熄)电梯继续运行,直到执行完最后一个请求信号后停在当前层; 5. 能记忆电梯内外的所有请求信号,并按照电梯运行规则次序响应,每个请求信号保留至执行后消除; 6. 电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由上而下逐个执行,直到最后一个上楼请求执行完毕,如果高层有下楼请求,则直接升到有下楼请求的最高楼层接客,然后进入下降模式。当电梯处于下降模式时,则与上升模式相反。 7. 电梯初始状态为一层开门。

    标签: 电梯 开关

    上传时间: 2016-05-15

    上传用户:qq21508895

  • Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器

    Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信号,并按如下运行规则依次相应:运行过程中先响应最早的请求,再响应后续的请求。如果无请求则停留当前层。如果有两个同时请求信号,则判断请求信号离当偍层的距离,距离近请求的先响应,再响应较远的请求。每个请求信号保留至执行后清除。

    标签: Verilog 电梯 制器设计 自动

    上传时间: 2016-06-01

    上传用户:sqq