虫虫首页|资源下载|资源专辑|精品软件
登录|注册

掌握

  • 游戏教本设计完全掌握-Game.Scriptingastery

    游戏教本设计完全掌握-Game.Scriptingastery

    标签: Scriptingastery Game

    上传时间: 2013-07-11

    上传用户:eeworm

  • 新手学习C的建议,如何快速掌握C.rar

    要学习一门编程语言并不难,编程主要是掌握思想,然后就是练习敲代码了。你的代码量每突破50000行的时候你的水平都有一个阶段性的提升,翔子在此给学习c#的朋友一些建议,供新手参考!首先熟悉.net框架,理解面向对象编程的思想,挺重要的!编程的学习是要慢慢而来的,慢慢的积累!

    标签:

    上传时间: 2013-04-24

    上传用户:xyipie

  • 工程师应该掌握的20个模拟电路.rar

    文章总结了工程师应该掌握的20个经典的电子电路

    标签: 工程师 模拟电路

    上传时间: 2013-07-20

    上传用户:jlyaccounts

  • 工程师应掌握的20个模拟电路

    工程师应掌握的20个模拟电路,电子爱好者必备

    标签: 工程师 模拟电路

    上传时间: 2013-06-27

    上传用户:hopy

  • 此手册让读者以最快速度掌握protel工具

    此手册让读者以最快速度掌握protel工具帮你解决后顾之忧

    标签: protel 速度

    上传时间: 2013-09-10

    上传用户:zw380105939

  • 初学者轻松掌握PROTEUS

    电子线路设计·制版与仿真 让初学者轻松掌握PROTEUS

    标签: PROTEUS 初学者

    上传时间: 2013-09-24

    上传用户:行旅的喵

  • 工程师应该掌握的模拟电路

    工程师应该掌握的模拟电路

    标签: 工程师 模拟电路

    上传时间: 2014-12-23

    上传用户:wweqas

  • 单片机开发中应掌握的几个基本技巧

    单片机开发中应掌握的几个基本技巧.

    标签: 单片机开发 基本技巧

    上传时间: 2013-11-22

    上传用户:cjh1129

  • 单片机开发中应掌握的几个基本技巧

    在单片机应用开发中,代码的使用效率问题、单片机抗干扰性和可靠性等问题仍困扰着 工程师。为帮助工程师解决单片机设计上的难题,《电子工程专辑》网站特邀Holtek香 港分公司工程部处长邓宏杰先生担任《单片机应用编程技巧》专题讨论的嘉宾,与广大 设计工程师交流单片机设计开发经验。现根据论坛中的讨论归纳出单片机开发中应掌握 的几个基本技巧。一、 如何提高C语言编程代码的效率邓宏杰指出,用C语言进行单片机程序设计是单片机开发与应用的必然趋势。他强调:“ 如果使用C编程时,要达到最高的效率,最好熟悉所使用的C编译器。先试验一下每条C语言编译以后对应的汇编语言的语句行数,这样就可以很明确的知道效率。在今后编程的 时候,使用编译效率最高的语句。” 他指出,各家的C编译器都会有一定的差异,故编译效率也会有所不同,优秀的嵌入式系统C编译器代码长度和执行时间仅比以汇编语言编写的同样功能程度长5-20%。他说:“对于复杂而开发时间紧的项目时,可以采用C语言,但前提是要求你对该MCU系统的C语言和C编译器非常熟悉,特别要注意该C编译系统所能支持的数据类型和算法。虽然C语言是最普遍的一种高级语言,但由于不同的MCU厂家其C语言编译系统是有所差别的,特别是在一些特殊功能模块的操作上。所以如果对这些特性不了解,那么调试起来问题就会很 多,反而导致执行效率低于汇编语言。” 二、 如何减少程序中的bug? 对于如何减少程序的bug,邓宏杰给出了一些建议,他指出系统运行中应考虑的超范围管理参数有: 1.物理参数。这些参数主要是系统的输入参数,它包括激励参数、采集处理中的运行参 数和处理结束的结果参数。合理设定这些边界,将超出边界的参数都视为非正常激励或 非正常回应进行出错处理。 2.资源参数。这些参数主要是系统中的电路、器件、功能单元的资源,如记忆体容量、 存储单元长度、堆叠深度。在程式设计中,对资源参数不允许超范围使用。 3.应用参数。这些应用参数常表现为一些单片机、功能单元的应用条件。如E2PROM的擦 写次数与资料存储时间等应用参数界限。 4.过程参数。指系统运行中的有序变化的参数。

    标签: 单片机开发 基本技巧

    上传时间: 2013-10-21

    上传用户:chukeey

  • 掌握Verilog的设计利器

    第二讲:掌握Verilog的设计利器

    标签: Verilog

    上传时间: 2013-10-28

    上传用户:jackandlee