虫虫首页|资源下载|资源专辑|精品软件
登录|注册

扩展指令集

扩展指令集是指CPU增加的多媒体或3D处理指令,这些扩展指令可以提高CPU处理多媒体和3D图形的能力,著名的有MMX(多媒体扩展指令)、SSE(因特网数据流单指令扩展)和3DNow!指令集。
  • MMX即多媒体可扩展指令集

    MMX即多媒体可扩展指令集,通常用汇编编写,内嵌于C++或C,提升图象算法的执行速度。该文档介绍了MMX的指令集及编程规范。

    标签: MMX 多媒体 扩展指令集

    上传时间: 2013-12-29

    上传用户:秦莞尔w

  • 探讨RISC32处理器设计中三个关键问题包括多媒体指令集扩展设计、流水线微结构优化设计以及使RISC32成为一个真正IP核的其他相关设计问题

    探讨RISC32处理器设计中三个关键问题包括多媒体指令集扩展设计、流水线微结构优化设计以及使RISC32成为一个真正IP核的其他相关设计问题

    标签: RISC 32 IP核 处理器

    上传时间: 2014-08-09

    上传用户:hwl453472107

  • 16C5X单片机的教程,共有四章:16C5X硬件结构,16C5X指令集及设计技巧,16C5X系统扩展方法,16C5X设计实例

    16C5X单片机的教程,共有四章:16C5X硬件结构,16C5X指令集及设计技巧,16C5X系统扩展方法,16C5X设计实例

    标签: 16C5X 16 单片机 教程

    上传时间: 2014-01-27

    上传用户:gundan

  • arm指令集(1)

    arm指令集(1)  ARM跳转指令可以从当前指令向前或向后的32MB地址空间跳转。这类跳转指令有以下4种。   (1)B 跳4专指令   B〔条件) (地址)   B指令属于ARM指令集,是最简单的分支指令。一旦遇到一个B指令,ARM处理器将立即跳转到给定的地址,从那里继续执行。注意:存储在分支指令中的实际值是相对当前R15的值的一个偏移量,而不是一个绝对地址。它的值由汇编器来计算,是24位有符号数,左移两位后有符号扩展为32位,表示的有效偏移位为26位(+/- 32 MB)。   (2)BL 带返回的跳转指令   BI,〔条件) (地址)   BL指令也属于ARM指令集,是另一个分支指令。就在分支之前,在寄存器R14中装载上R15的内容,因此可以重新装载R14到R15中来返回到这个分支之后的那个指令处执行,它是子例程的一个基本但强力的实现。   (3)BLX 带返回和状态切换的跳转指令   BLX <地址>   BLX指令有两种格式,第1种格式的BLX指令记作BLX(1)。BLX(1)从ARM指令集跳转到指令中指定的目标地址,并将程序状态切换到Thumb状态,该指令同时将PC寄存器的内容复制到LR寄存器中。   BLX(1)指令属于无条件执行的指令。   第2种格式的BLX指令记作BLX(2)。BLX(2)指令从ARM指令集跳转到指令中指定的目标地址,目标地址的指令可以是ARM指令,也可以是Thumb指令。目标地址放在指令中的寄存器<dest>中,该地址的bit[0]值为0,目标地址处的指令类型由CPSR中的T位决定。该指令同时将PC寄存器的内容复制到LR寄存器中。   (4)BX 带状态切换的跳转指令   BX(条件) (dest)   BX指令跳转到指令中指定的目标地址,目标地址处的指令可以是ARM指令,也可以是Thumb指令。目标地址值为指令的值和0xFl·FFFFFF做“与”操作的结果,目标地址处的指令类型由寄存器决定。

    标签: arm 指令集

    上传时间: 2014-12-27

    上传用户:laomv123

  • 第三章 GE FANUC PLC 指令集(一) 繼電器指令

    第三章 GE FANUC PLC 指令集(一) 繼電器指令

    标签: FANUC PLC GE 指令集

    上传时间: 2013-06-10

    上传用户:eeworm

  • 第三章-GE-FANUC-PLC-指令集-一-繼電器指令.pdf

    专辑类-实用电子技术专辑-385册-3.609G 第三章-GE-FANUC-PLC-指令集-一-繼電器指令.pdf

    标签: GE-FANUC-PLC 指令集 指令

    上传时间: 2013-06-18

    上传用户:LIKE

  • 常用ARM指令集及汇编(经典).rar

    常用ARM7TDMI(-S)指令集及汇编,

    标签: ARM 指令集 汇编

    上传时间: 2013-06-27

    上传用户:jhksyghr

  • ARM指令集仿真器的设计与实现.pdf

    指令集仿真器是目前嵌入式系统研究中一个极其重要的领域,一个灵活高效且准确度高的仿真器不仅可以实现对嵌入式系统硬件环境的仿真,而且是现代微处理器结构设计过程中性能评估的重要工具. 仿真器的性能已经成为影响整个设计效率的重要因素,在现有的指令集仿真技术中,编译型仿真技术虽然可以获得高的仿真速度,但其对应用的假设过于严格,限制了其在商业领域中的应用;解释型仿真器虽被普遍使用,但其缺点也很明显,由于模拟过程中需要耗费大量时间用于指令译码,解释型模拟器速度往往很有限,使用性能较低。由此可见,如何减少仿真过程中的指令译码时间,是提高仿真器的性能的关键。 本文旨在提出一个指令集仿真器的原型,重点解决指令解码过程中的速度瓶颈,在其基础可以进行扩充和改进,以适应不同硬件平台的需要。文章首先从ARM指令集的指令功能和编码格式入手,通过分析和比较找出了一般常用指令的编码和实现规律,并在此基础上进行了高级语言的描述,其后提出了改进版解释型指令集仿真器的设计方案,包括为提高仿真器性能,减少译码时间,创新性的在流程设计中加入了预解码的步骤,同时用自己设计的压缩算法解决了因预解码产生大量译码信息而带来的内存过度消耗难题。接下来,描述了仿真器的实现,包括指令的取指、译码、执行等基本功能,并着重描述了如何通过划分存储域和存储块的方式模拟真实存储器的读写访问实现。 另外,需要特别指出的是,针对仿真器中普遍存在的调试难问题,本文从一线程序开发人员的角度,在调试模块的设计中除了断点设置、程序暂停、恢复等基本功能外,还添加了各类监视设备和程序跟踪的功能,以期能提高本仿真器的实用性。 在文章的结尾,提出了仿真器的验证方案,并按照该方案对仿真器进行了功能和性能上的验证,最后对进一步的工作进行了展望。

    标签: ARM 指令集 仿真器

    上传时间: 2013-08-02

    上传用户:宋桃子

  • 基于FPGA的精简指令集计算机的研究与开发

    大规模可编程逻辑器件CPLD和FPGA是当今应用最广泛的两类可编程专用集成电路(ASIC),电子设计工程师用它可以在办公室或实验室里设计出所需的专用集成电路,从而大大缩短了产品上市时间,降低了开发成本.此外,可编程逻辑器件还具有静态可重复编程和动态系统重构的特性,使得硬件的功能可以象软件一样通过编程来修改,这样就极大地提高了电子系统设计的灵活性和通用性.该设计完成了在一片可编程逻辑器件上开发简易计算机的设计任务,将单片机与单片机外围电路集成化,能够输入指令、执行指令、输出结果,具有在电子系统中应用的普遍意义,另外,也可以用于计算机组成原理的教学试验.该文第一章简要介绍了可编程ASIC和EDA技术的历史、现状、未来并对本课题作了简要陈述.第二章在芯片设计的两种输入法即原理图输入法和HDL输入法之间做出比较,决定选用HDL输入法.第三章描述了具体的设计过程和设计手段,首先将简易计算机划分为运算器、CPU控制器、存储器、键盘接口和显示接口以及系统控制器,然后再往下分为下层子模块.输入法的语言使用的是Verilog HDL,鉴于篇幅所限,源代码部分不在论文之中.第四章对设计的综合与实现做了总结,给出了时序仿真波形图.该文针对FPGA和RISC这两大课题,对RISC在FPGA上的实现进行了初浅的探索与尝试.从计算机体系结构入手,剖析了精简指令集计算机的原理,通过该设计的实践对ASIC和EDA的设计潜力有了更进一步的领悟.

    标签: FPGA 指令集 计算机

    上传时间: 2013-05-20

    上传用户:hewenzhi

  • Atmel8051微处理器指令集

    本资料是Atmel公司8051微处理器指令集英文文档,对8051的汇编指令进行了详细的说明,是初学者的有益参考。

    标签: Atmel 8051 微处理器 指令集

    上传时间: 2013-05-28

    上传用户:qlpqlq