虫虫首页|资源下载|资源专辑|精品软件
登录|注册

广播电视

  • 广播电视载波电平监测

    广播电视载波电平监测

    标签: 广播电视 载波 电平 监测

    上传时间: 2013-10-19

    上传用户:kikye

  • SDH在广播电视传输网中的应用

    本文重点介绍SDH在广播电视传输网中的应用:SDH技术如何传输广播电视信号,在HFC接入网中IP是如何传送的,以及SDH技术在我国广播电视传输网中的应用概况。

    标签: SDH 广播电视 传输网 中的应用

    上传时间: 2013-10-19

    上传用户:完玛才让

  • 广播电视常用音频数字编码技术的全面介绍。

    广播电视常用音频数字编码技术的全面介绍。

    标签: 广播电视 音频数字 编码技术

    上传时间: 2013-12-15

    上传用户:gut1234567

  • 有线模拟电视基础知识

    笔者认为,论坛里除了要适应当前国内有线电视发展形势的需要,把重点放在数字电视和多功能开发方面之外,对模拟电视基础知识、特别是对模拟电视知识的更新方面亦要有一定程度的重视,所以特地把自己发表在省级及省级以上广播电视技术刊物上的70多篇论文的相关内容,以及发表在“中国有线电视技术论坛”上的3300多个帖子中的相关内容,整理汇编成《有线模拟电视基础知识新编》.  

    标签: 模拟电视 基础知识

    上传时间: 2013-12-23

    上传用户:zl520l

  • 家用卫星电视接收机原理及检修_裴刚

    卫星广播电视具有覆盖面积大、使用频率高、管束容量大、信号质量好等特点,它是未来数字电视(DTV)与高清晰电视(HDTV)的重要传播手段和电视技术的主要发展方向。

    标签: 卫星电视 接收机 检修

    上传时间: 2013-10-25

    上传用户:yqs138168

  • 数字电视标准综述,本文从数字电视技术标准所起的作用

    数字电视标准综述,本文从数字电视技术标准所起的作用,美国ATSC标准,欧洲DVB标准,日本ISDB标准,DVB与 ATSC的比较,三种标准的数字地面广播系统比较,我国数字广播电视标准化工作的现状等方面,详细地介绍了数字电视标准。

    标签: 数字电视 技术标准 标准

    上传时间: 2015-12-09

    上传用户:WMC_geophy

  • 为了促进数字电视产业的发展

    为了促进数字电视产业的发展,协调、监管有线数字电视运营秩序,保障有 线数字电视系统的安全运行,使监管平台从CAS 缓存设备中获取数据和信息, 并分析和整理,实现对条件接收系统的监管,广播电视监测中心于2003 年3 月 起负责起草《条件接收系统缓存设备与监管平台数据交换协议和格式技术要求》 (暂行)。本技术要求规定了监管平台的前端采集设备从条件接收系统获取数据 时的设备连接要求、协议框架、数据交换格式。适用于监管平台与有线数字电视 广播条件接收系统的CAS 缓存设备的数据交换。

    标签: 数字电视 产业 发展

    上传时间: 2016-08-11

    上传用户:qweqweqwe

  • 基于FPGA的数字视频光纤传输系统的设计.rar

    随着计算机技术和通信技术的迅速发展,数字视频在信息社会中发挥着越来越重要的作用,视频传输系统已经被广泛应用于交通管理、工业监控、广播电视、银行、商场等多个领域。同时,FPGA单片规模的不断扩大,在FPGA芯片内部实现复杂的数字信号处理系统也成为现实,因此采用FPGA实现视频压缩和传输已成为一种最佳选择。 本文将视频压缩技术和光纤传输技术相结合,设计了一种基于无损压缩算法的多路数字视频光纤传输系统,系统利用时分复用和无损压缩技术,采用串行数字视频传输的方式,可在一根光纤中同时传输8路以上视频信号。系统在总体设计时,确定了基于FPGA的设计方案,采用ADI公司的AD9280和AD9708芯片实现A/D转换和D/A转换,在FPGA里实现系统的时分复用/解复用、视频数据压缩/解压缩和线路码编解码,利用光收发一体模块实现电光转换和光电转换。视频压缩采用LZW无损压缩算法,用Verilog语言设计了压缩模块和解压缩模块,利用Xilinx公司的IP核生成工具Core Generator生成FIFO来缓存压缩/解压缩单元的输入输出数据,光纤线路码采用CIMT码,设计了编解码模块,解码过程中,利用数字锁相环来实现发射与接收的帧同步,在ISE8.2和Modelsim仿真环境下对FPGA模块进行了功能仿真和时序仿真,并在Spartan-3E开发板和视频扩展板上完成了系统的硬件调试与验证工作,实验证明,系统工作稳定,图像清晰,实时传输效果好,可用于交通、安防、工业监控等多个领域。 本文将视频压缩和线路码编解码在FPGA里实现,利用FPGA的并行处理优势,大大提高了系统的处理速度,使系统具有集成度高、灵活性强、调试方便、抗干扰能力强、易于升级等特点。

    标签: FPGA 数字视频 光纤传输系统

    上传时间: 2013-06-27

    上传用户:几何公差

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS流 复用器

    上传时间: 2013-08-02

    上传用户:gdgzhym

  • 基于FPGA的MPEG2TS码流实时分析与检测系统.rar

    当前我国正处在从模拟电视系统向数字电视系统的转型期,数字电视用户数量激增,其趋势是在未来的几年内数字电视将迅速普及。在应用逐渐广泛的数字电视系统中,监控数字电视服务正成为一种越来越迫切的需要。然而,目前对于数字电视并没有合适的监测仪器,因此无法及时方便地诊断出现问题的信号以及隔离需要维修的数字化设备。通常只有当电视屏幕上的图像消失时我们才知道数字信号系统出了问题。几乎没有任何线索可以用来找到问题的所在或原因,码流分析仪器在这种情况下应运而生。目前在数字电视系统的前端,通过监控了解数字视频广播(DVB)信号和服务的状况从而采取措施比通过观众的反映而采取措施要主动和及时得多。传输流(TS)的测试设备可使技术人员分析码流的内部情况,它们在决定未来服务质量和客户满意度方面将扮演更重要的角色。 本文着重研究了在DVB广播电视系统中,DVB-ASI信号的解码、MPEG-2TS的实时检错原理和基于现场可编辑门阵列(FPGA)的实现方法。文章首先阐述了数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准、ETR101 290标准、异步串行接口(ASI)。然后介绍了FPGA的基本概念与开发FPGA所使用的软件工具。最后根据DVB-ASI接收系统的解码规则与MPEG-2TS码流的结构提出了一套基于FPGA的MPEG-2TS码流实时分析与检测系统设计方案并予以了实现。 在本系统中,FPGA起着核心的作用,主要完成DVB-ASI的解码、MPEG-2TS码流检错、以及数字电视节目专有信息(PSI)提取等功能。本文实现的系统与传统的码流分析仪相比具有集成度较高、易扩展、便于携带、稳定性好、性价比高等优点。

    标签: MPEG2TS FPGA 码流

    上传时间: 2013-06-04

    上传用户:love1314