虫虫首页|资源下载|资源专辑|精品软件
登录|注册

udisk

  • philips的udisk程序源码。

    philips的udisk程序源码。

    标签: philips udisk 程序源码

    上传时间: 2013-12-30

    上传用户:ikemada

  • udisk协议及控制文档

    udisk协议及控制文档,比较详细。对于udisk开发人员有些帮助。

    标签: udisk 协议 控制 文档

    上传时间: 2013-11-25

    上传用户:woshiayin

  • 读写udisk文件原码

    读写udisk文件原码

    标签: udisk 读写

    上传时间: 2014-11-16

    上传用户:busterman

  • Get Product Model and Product Vendor of udisk. Dll & test program.

    Get Product Model and Product Vendor of udisk. Dll & test program.

    标签: Product program Vendor Model

    上传时间: 2014-08-08

    上传用户:ikemada

  • udisk-ReadWrite.rar

    性价比超高的U盘读写模块-PB375,兼容CH375读写操作 1. 功能 ● 用于嵌入式系统/单片机读写U 盘、闪盘、闪存盘、USB 移动硬盘、USB 读卡器等。 ● 支持符合USB 相关规范基于Bulk-Only 传输协议的各种U 盘/闪存盘/外置硬盘。 ● 支持文件系统FAT12 和FAT16 及FAT32 ● 文件操作功能:新建、删除、读写数据,打开关闭文件等。 ● SPI接口,支持3.3V电平 ● 兼容CH375模块的操作命令 ● 单芯片解决方案,该模块只需要一个主控芯片外加少量的电容电阻便可,相对于51MCU+SL811/CH375的模块,无论模块尺寸还是成本都有着极大的优势。 ● 模块尺寸:38mm*40mm ● 该模块可根据要求进行定制 基本不需要占用单片机系统的存储空间,最少只需要几个字节的RAM 和几百字节的代码。 2. 价格 相比51MCU+SL811/CH375方案有着极其强的价格优势 3. 参数 兼容CH375模块的读写操作命令,新建、删除、读写数据,打开关闭文件 4. 应用 • 桌上型仪表及便携式仪表 • 电子医疗仪表 (血压计、血糖计、血脂计、心电机等) • 运动器材(跑步机、摇摆机、、等等之器材) • 汽车行车记录器,税控机 • 电子系统参数设定 ( 温度控制、行程控制等等之设备) • CNC 自动化设备 ( 程序存取设定) •数据采集 5. 联系方式 联系人:肖武 电话:13728690655 地址:深圳市南山区高新中四道30号龙泰利大厦304

    标签: udisk-ReadWrite

    上传时间: 2013-07-07

    上传用户:2467478207

  • 《USB 2.0 应用系统开发实例精讲》源代码

    ·详细说明:<<USB 2.0 应用系统开发实例精讲>>源代码,包含MP3播放器、udisk应用实例、USB键盘、USB数据采集仪、USB信号发生器的所有源码。采用AT89C5131作为主控制器开发。文件列表:   程序代码   ........\MP3   ........\...\MP3.C   ........\udisk &nb

    标签: nbsp USB 2.0 应用系统

    上传时间: 2013-06-02

    上传用户:410805624

  • < USB 2.0 应用系统开发实例精讲>>源代码

    < USB 2.0 应用系统开发实例精讲>>源代码,包含MP3播放器、udisk应用实例、USB键盘、USB数据采集仪、USB信号发生器的所有源码。采用AT89C5131作为主控制器开发!

    标签: gt USB 2.0 lt

    上传时间: 2015-08-20

    上传用户:whenfly