虫虫首页|资源下载|资源专辑|精品软件
登录|注册

serdes

serdes是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,提升信号的传输速度,从而大大降低通信成本。
  • MAXX9257 MAX9258芯片可编程serdes持续时间计算

    The MAX9257/MAX9258 programmable serializer/deserializer (serdes) devices transfer both video data and control signals over the same twisted-pair cable. However, control data can only be transmitted during the vertical blank time, which is indicated by the control-channel-enabled output (CCEN) signal. The electronic control unit (ECU) firmware designer needs to know how quickly to respond to the CCEN signal before it times out and how to calculate this duration. This application note describes how to calculate the duration of the CCEN for the MAX9257/MAX9258 serdes chipset. The calculation is based on STO timeout, clock frequency, and UART bit timing. The CCEN duration is programmable and can be closed if not in use.

    标签: serdes MAXX 9257 9258

    上传时间: 2014-01-24

    上传用户:xingisme

  • 带有serdes接口的PLB千兆位级以太网MAC

    This application note describes a reference system which illustrates how to build an embeddedPowerPC® system using the Xilinx 1-Gigabit Ethernet Media Access Controller processor core.This system has the PLB_Gemac configured to use Scatter/Gather Direct Memory Access andthe Serializer/Deserializer (serdes) interface. This application note describes how to set up thespecific clocking structure required for the serdes interface and the constraints to be added tothe UCF file. This reference system is complete with a standalone software application to testsome of the main features of this core, including access to registers, DMA capabilities, transmitand receive in loopback mode. This reference system is targeted for the ML300 evaluationboard.

    标签: serdes PLB MAC 接口

    上传时间: 2013-11-01

    上传用户:truth12

  • 关于serdes应用的研究

    关于serdes应用的研究,切合当前发展的现状,给出来很好说明

    标签: serdes

    上传时间: 2013-12-11

    上传用户:wys0120

  • High Speed serdes Design and Connectors

    HIGH SPeed serdes designs and connectors and simulation models simulations used in signal Integrity and also has practical evaluation aof all connectors

    标签: Si HighSpeed

    上传时间: 2015-04-09

    上传用户:1234wei

  • 高速串行serdes以及应用介绍

    serdes是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种时分多路复用(TDM)、点对点的通信技术,即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,从而大大降低通信成本。随着对信息流量需求的不断增长,传统并行接口技术成为进一步提高数据传输速率的瓶颈。过去主要用于光纤通信的串行通信技术——serdes正在取代传统并行总线而成为高速接口技术的主流。本文阐述了介绍serdes的架构、关键技术、serdes硬件设计要点以及测试方法。

    标签: 高速 serdes

    上传时间: 2022-06-30

    上传用户:hxd

  • 高速串行serdes信号的眼图抖动测试

    随着新研发单板上高速serdes信号的增多,信号完整性测试显的越来越重要,本文档围绕serdes信号的眼图抖动测试总结一些测试注意事项。新研发单板上高速serdes信号速率高达2.45G,一些时钟信号上升/下降沿达到400ps左右,必然需要测量serdes信号的眼图、抖动,在这里总结一些测试经验和注意事项。UBPG1单板上有如下几种高速数据serdes信号:1.         GE serdes接口(SGMII接口标准)2.         AIF serdes接口(CPRI接口标准)3.         IQ serdes接口(类CPRI接口标准,自定义帧格式)4.         光口 serdes接口(CPRI接口标准)对于serdes信号,其信号电气特性由IEEE协议规定,在协议中会给出相应的眼图测试模板及抖动指标,部分芯片厂家会在DATASHEET中给出单独的眼图测试模板及抖动指标(一般会比协议要求的更宽松)。UBPG1单板上的serdes接口按电气特性分有两种,一种是SGMII接口(用1000-BASE-CX模板,IEEE协议39节);一种是CPRI接口(用XAUI模板,IEEE协议49节)。

    标签: 高速 serdes 眼图抖动

    上传时间: 2022-06-30

    上传用户:ddk

  • FPGA的serdes接口设计与实现

    基于FPGA的serdes接口设计与实现             

    标签: fpga serdes接口

    上传时间: 2022-07-08

    上传用户:得之我幸78

  • 基于FPGA的甚短距离高速并行光传输系统研究

    甚短距离传输(VSR)是一种用于短距离(约300 m~600m)内进行数据传输的光传输技术.它主要应用于网络中的交换机、核心路由器(CR)、光交叉连接设备(OXC)、分插复用器(ADM)和波分复用(WDM)终端等不同层次设备之间的互连,具有构建方便、性能稳定和成本低等优点,是光通信技术发展的一个全新领域,逐渐成为国际通用的标准技术,成为全光网的一个重要组成部分. 本文深入研究了VSR并行光传输系统,完成了VSR技术的核心部分--转换器子系统的设计与实现,使用现场可编程阵列FPGA(Field Programmable GateArray)来完成转换器电路的设计和功能实现.深入研究现有VSR4-1.0和VSR4-3.0两种并行传输标准,在其技术原理的基础上,提出新的VSR并行方案,提高了多模光纤带的信道利用率,充分利用系统总吞吐量大的优势,为将来向更高速率升级提供了依据.根据万兆以太网的技术特点和传输要求,提出并设计了用VSR技术实现局域和广域万兆以太网在较短距离上的高速互连的系统方案,成功地将VSR技术移植到万兆以太网上,实现低成本、构建方便和性能稳定的高速短距离传输. 本文所有的设计均在Altera Stratix GX系列FPGA的EP1SGX25F1020C7上实现,采用Altera的Quartus Ⅱ开发工具和 Verilog HDL硬件描述语言完成了VSR4-1.0转换器集成电路和万兆以太网的serdes的设计和仿真,并给出了各模块的电路结构和仿真结果.仿真的结果表明,所有的设计均能正确的实现各自的功能,完全能够满足10Gb/s高速并行传输系统的要求.

    标签: FPGA 短距离 光传输 高速并行

    上传时间: 2013-07-14

    上传用户:han0097

  • FPGA之间的LVDS传输

    FPGA之间的LVDS传输,采用serdes接口,传输速率达到400m

    标签: FPGA LVDS 传输

    上传时间: 2013-08-09

    上传用户:hoperingcong

  • 基于TLK2711的高速串行全双工通信协议研究

    针对实时型相机对系统小型化、通用化及数据高速率可靠传输的需求,文中在研究高速串行器/解串器(serdes)器件TLK2711工作原理的基础上,提出了高速串行全双工通信协议总体设计方案。文章以TLK2711为物理层、FPGA为链路层设计了高速串行全双工通信协议,对协议的实现进行了详细的描述。协议的在定制中力求做到了最简化,为上层用户提供简单的数据接口。试验中通过两块电路板的联调,完成了数据率为2.5Gbps的点对点高速传输,采用发送伪随机码测试,系统工作2小时,所测误码率小于10-12。

    标签: 2711 TLK 高速串行 全双工

    上传时间: 2014-12-28

    上传用户:wff