虫虫首页|资源下载|资源专辑|精品软件
登录|注册

nios ii

  • 面向Eclips的nios ii软件构建工具手册

    面向Eclips的nios ii软件构建工具手册 The Nios® II Software Build Tools (SBT) for Eclipse™ is a set of plugins based on the Eclipse™ framework and the Eclipse C/C++ development toolkit (CDT) plugins. The nios ii SBT for Eclipse provides a consistent development platform that works for all nios ii embedded processor systems. You can accomplish all nios ii software development tasks within Eclipse, including creating, editing, building, running, debugging, and profiling programs.

    标签: Eclips Nios 软件

    上传时间: 2013-11-01

    上传用户:瓦力瓦力hong

  • 怎样使用nios ii处理器来构建多处理器系统

    怎样使用nios ii处理器来构建多处理器系统 Chapter 1. Creating Multiprocessor nios ii Systems Introduction to nios ii Multiprocessor Systems . . . . . . . . . . . . . . 1–1 Benefits of Hierarchical Multiprocessor Systems  . . . . . . . . . . . . . . . 1–2 nios ii Multiprocessor Systems . . . . . . . . . . . . . . . . . . . .  . . . . . . . . . . . . . 1–2 Multiprocessor Tutorial Prerequisites   . . . . . . . . . . .  . . . . . . . . . . . . 1–3 Hardware Designs for Peripheral Sharing   . . . . . . . . . . . .. . . . . . . . 1–3 Autonomous Multiprocessors   . . . . . . . . . . . . . . . . . . . . . .  . . . . . . . 1–3 Multiprocessors that Share Peripherals . . . . . . . . . . . . . . . . . . . . . . 1–4 Sharing Peripherals in a Multiprocessor System   . . . . . . . . . . . . . . . . . 1–4 Sharing Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–6 The Hardware Mutex Core  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–7 Sharing Peripherals   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . 1–8 Overlapping Address Space  . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–8 Software Design Considerations for Multiple Processors . . .. . . . . 1–9 Program Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–9 Boot Addresses  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1–13 Debugging nios ii Multiprocessor Designs  . . . . . . . . . . . . . . . .  1–15 Design Example: The Dining Philosophers’ Problem   . . . . .. . . 1–15 Hardware and Software Requirements . . . . . . . . . . . . . . . .. . . 1–16 Installation Notes  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–17 Creating the Hardware System   . . . . . . . . . . . . . . .. . . . . . 1–17 Getting Started with the multiprocessor_tutorial_start Design Example   1–17 Viewing a Philosopher System   . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . 1–18 Philosopher System Pipeline Bridges  . . . . . . . . . . . . . . . . . . . . . 1–19 Adding Philosopher Subsystems   . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–21 Connecting the Philosopher Subsystems  . . . . . . . . . . . . .. . . . . 1–22 Viewing the Complete System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–27 Generating and Compiling the System   . . . . . . . . . . . . . . . . . .. 1–28

    标签: Nios 处理器 多处理器

    上传时间: 2013-11-21

    上传用户:lo25643

  • 使用nios ii紧耦合存储器教程

                 使用nios ii紧耦合存储器教程 Chapter 1. Using Tightly Coupled Memory with the nios ii Processor Reasons for Using Tightly Coupled Memory  . . . . . . . . . . . . . . . . . . . . . . . 1–1 Tradeoffs  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1 Guidelines for Using Tightly Coupled Memory . . . .. . . . . . . . 1–2 Hardware Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–2 Software Guidelines  . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . 1–3 Locating Functions in Tightly Coupled Memory  . . . . . . . . . . . . . 1–3 Tightly Coupled Memory Interface   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–4 Restrictions   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–4 Dual Port Memories  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . 1–5 Building a nios ii System with Tightly Coupled Memory  . . . . . . . . . . . 1–5

    标签: Nios 耦合 存储器 教程

    上传时间: 2013-10-12

    上传用户:黄婷婷思密达

  • nios ii软件开发人员手册中的缓存和紧耦合存储器部分

            nios ii 软件开发人员手册中的缓存和紧耦合存储器部分 Nios® II embedded processor cores can contain instruction and data caches. This chapter discusses cache-related issues that you need to consider to guarantee that your program executes correctly on the nios ii processor. Fortunately, most software based on the nios ii hardware abstraction layer (HAL) works correctly without any special accommodations for caches. However, some software must manage the cache directly. For code that needs direct control over the cache, the nios ii architecture provides facilities to perform the following actions:

    标签: Nios 软件开发 存储器

    上传时间: 2013-10-25

    上传用户:虫虫虫虫虫虫

  • nios ii定制指令用户指南

         nios ii定制指令用户指南:With the Altera nios ii embedded processor, you as the system designer can accelerate time-critical software algorithms by adding custom instructions to the nios ii processor instruction set. Using custom instructions, you can reduce a complex sequence of standard instructions to a single instruction implemented in hardware. You can use this feature for a variety of applications, for example, to optimize software inner loops for digital signal processing (DSP), packet header processing, and computation-intensive applications. The nios ii configuration wizard,part of the Quartus® II software’s SOPC Builder, provides a graphical user interface (GUI) used to add up to 256 custom instructions to the nios ii processor. The custom instruction logic connects directly to the nios ii arithmetic logic unit (ALU) as shown in Figure 1–1.

    标签: Nios 定制 指令 用户

    上传时间: 2013-10-11

    上传用户:kang1923

  • nios ii 系列处理器配置选项

        nios ii 系列处理器配置选项:This chapter describes the Nios® II Processor parameter editor in Qsys and SOPC Builder. The nios ii Processor parameter editor allows you to specify the processor features for a particular nios ii hardware system. This chapter covers the features of the nios ii processor that you can configure with the nios ii Processor parameter editor; it is not a user guide for creating complete nios ii processor systems.

    标签: Nios II 列处理器

    上传时间: 2015-01-01

    上传用户:mahone

  • nios ii内核详细实现

    nios ii内核详细实现

    标签: Nios 内核

    上传时间: 2015-01-01

    上传用户:源码3

  • nios ii是一个用户可配置的通用RISC嵌入式处理器,这个文档详细介绍这个处理器的用法

    nios ii是一个用户可配置的通用RISC嵌入式处理器,这个文档详细介绍这个处理器的用法

    标签: Nios RISC 用户 可配置

    上传时间: 2013-12-08

    上传用户:ywqaxiwang

  • nios ii的uboot bootloader程序

    nios ii的uboot bootloader程序,完全可以在Nios SDK Shell下编译。

    标签: bootloader uboot Nios 程序

    上传时间: 2015-04-28

    上传用户:一诺88

  • 这个是基于nios ii的FPGA平台的一个CF卡的接口模块

    这个是基于nios ii的FPGA平台的一个CF卡的接口模块,是在Quartus II下的完整工程包

    标签: NIOS FPGA 接口模块

    上传时间: 2015-05-04

    上传用户:924484786