虫虫首页|资源下载|资源专辑|精品软件
登录|注册

music_rom

  • VHDL源码其中“music_rom”使用FPGA厂商提供的工具生成的

    VHDL源码其中“music_rom”使用FPGA厂商提供的工具生成的,如Altera的Quartus II 及其宏功能生成的这些文件。 另外,我们还希望实现以下功能: * 播放音乐时,在ROM的结尾处暂停 * "fullnote"值为0时,表示静音 所以我们将原来的程序的最后一行从

    标签: music_rom VHDL FPGA 源码

    上传时间: 2013-12-19

    上传用户:CHINA526

  • 其中“music_rom”使用FPGA厂商提供的工具生成的

    其中“music_rom”使用FPGA厂商提供的工具生成的,如Altera的Quartus II 及其宏功能生成的这些文件。 另外,我们还希望实现以下功能: * 播放音乐时,在ROM的结尾处暂停 所以我们将原来的程序的最后一行从

    标签: music_rom FPGA 厂商

    上传时间: 2016-07-03

    上传用户:dbs012280