虫虫首页|资源下载|资源专辑|精品软件
登录|注册

lvds

lvds(Low-VoltageDifferentialSignaling)低电压差分信号,是一种低功耗、低误码率、低串扰和低辐射的差分信号技术,这种传输技术可以达到155Mbps以上,lvds技术的核心是采用极低的电压摆幅高速差动传输数据,可以实现点对点或一点对多点的连接,其传输介质可以是铜质的PCB连线,也可以是平衡电缆。[1]
  • AN522: Implementing Bus lvds

    This application note describes how to implement the Bus lvds (Blvds) interface in the supported Altera ® device families for high-performance multipoint applications. This application note also shows the performance analysis of a multipoint application with the Cyclone III Blvds example.

    标签: Implementing lvds 522 Bus

    上传时间: 2013-10-26

    上传用户:苏苏苏苏

  • 基于FPGA的lvds高速数据通信卡设计

    基于FPGA、PCI9054、SDRAM和DDS设计了用于某遥测信号模拟源的专用板卡。PCI9054实现与上位机的数据交互,FPGA实现PCI本地接口转换、数据接收发送控制及DDS芯片的配置。通过WDM驱动程序设计及MFC交互界面设计,最终实现了10~200 Mbit·s-1的lvds数据接收及10~50 Mbit·s-1任意速率的lvds数据发送。

    标签: FPGA lvds 高速数据 通信卡

    上传时间: 2013-12-23

    上传用户:zhangchu0807

  • lvds与高速PCB设计

    lvds(低压差分信号)标准ANSI/TIA /E IA26442A22001广泛应用于许多接口器件和一些ASIC及FPGA中。文中探讨了lvds的特点及其PCB (印制电路板)设计,纠正了某些错误认识。应用传输线理论分析了单线阻抗、双线阻抗及lvds差分阻抗计算方法,给出了计算单线阻抗和差分阻抗的公式,通过实际计算说明了差分阻抗与单线阻抗的区别,并给出了PCB布线时的几点建议。关键词: lvds, 阻抗分析, 阻抗计算, PCB设计 lvds (低压差分信号)是高速、低电压、低功率、低噪声通用I/O接口标准,其低压摆幅和差分电流输出模式使EM I (电磁干扰)大大降低。由于信号输出边缘变化很快,其信号通路表现为传输线特性。因此,在用含有lvds接口的Xilinx或Altera等公司的FP2GA及其它器件进行PCB (印制电路板)设计时,超高速PCB设计和差分信号理论就显得特别重要。

    标签: lvds PCB

    上传时间: 2013-10-31

    上传用户:adada

  • lvds接口介绍

    lvds接口介绍,很详细

    标签: lvds 接口

    上传时间: 2013-10-28

    上传用户:Jesse_嘉伟

  • THC63LVD103D THine lvds芯片datasheet 规格书

    THC63LVD103D THine lvds芯片datasheet 规格书

    标签: datasheet THine 103D lvds

    上传时间: 2013-11-09

    上传用户:Alick

  • THC63LVDM83D THine lvds芯片datasheet

    THC63LVDM83D THine lvds芯片datasheet 规格书

    标签: datasheet THine LVDM lvds

    上传时间: 2015-01-03

    上传用户:xiaojie

  • 基于lvds 技术的传输接口设计

    介绍了lvds 接口的原理和优点,接口机的硬件组成以及在设计lvds 接口时需注意的事项。关键词 lvds;数据传输;时序 当声呐在海洋中执行任务时,前置预处理机设备接收到的数据不仅需要送往数字信号处理机进行实时分析,还经常需要使用数据记录仪将海上各种复杂的信号数据记录下来以供事后分析研究;在数据分析时需要将数据记录仪中记录的数据在声呐设备上回放出来。由于声呐设备记录的数据量大,在实时记录和回放过程中需要很高的数据吞吐率,这就要求在前置预处理机、数字信号处理机和数据记录仪三者之间建立一个高速、可靠、有效的传输接口。本文的任务即是要设计这样一个数据传输接口,可以将前置预处理机的多通道模拟信号转换为数字信号,同时传输给数字信号处理机和数据记录仪,也可将数据记录仪回放的数据转送数字信号处理机。

    标签: lvds 传输 接口设计

    上传时间: 2013-10-14

    上传用户:Zxcvbnm

  • lvds技术: 低電壓差分訊號(lvds)在對訊號完整性、低抖動及共模特性要求較高的系統中得到了廣泛的應用。本文針對lvds與其他幾種介面標準之間的連接

    lvds技术: 低電壓差分訊號(lvds)在對訊號完整性、低抖動及共模特性要求較高的系統中得到了廣泛的應用。本文針對lvds與其他幾種介面標準之間的連接,對幾種典型的lvds介面電路進行了討論

    标签: lvds 差分 系統

    上传时间: 2014-01-13

    上传用户:stvnash

  • NS 公司的lvds使用手册

    NS 公司的lvds使用手册,可用于指导进行lvds电路设计和印制板设计。

    标签: lvds NS 使用手册

    上传时间: 2015-07-04

    上传用户:225588

  • SXGA LCD Controller with Analog Interface and Dual lvds Transmitter Preliminary Data Sheet Version

    SXGA LCD Controller with Analog Interface and Dual lvds Transmitter Preliminary Data Sheet Version 0.3

    标签: Preliminary Transmitter Controller Interface

    上传时间: 2013-11-28

    上传用户:我干你啊