虫虫首页|资源下载|资源专辑|精品软件
登录|注册

key1

  • 利用XC9572-PQ44(Xilinx CPLD)制作的一款家用防盗报警器的Verilog源代码及原理图

    利用XC9572-PQ44(Xilinx CPLD)制作的一款家用防盗报警器的Verilog源代码及原理图,当房门打开后,15秒内若没有按下key1,则会自动拨打设定手机号(当然,要另连接一台手机)

    标签: Verilog Xilinx 9572 CPLD

    上传时间: 2013-12-21

    上传用户:003030

  • 使用单片机

    使用单片机,正弦波发生器,key0口按键减小输出频率,key1口按键增加输出频率 sinout口输出正弦波,(cosout口输出余弦波),使用定时器T0,16位定时模式。 R6、R7用作10毫秒延时寄存器。Fout输出方波。且输出的正弦波在0.01-83Hz范围内,方波在1.3Hz-10.6kHz范围内。

    标签: 用单片机

    上传时间: 2017-09-03

    上传用户:cmc_68289287

  • 单片机课程设计

    #include<reg52.h>  #include<intrins.h> #define LED P0 sbit KEY0=P2^0;  //定义按键输入端口 A    sbit key1=P2^1;  //定义按键输入端口 B    sbit KEY2=P2^2;  //定义按键输入端口 C unsigned int Led_table[8]={0xfe,0xfc,0xf8,0xf0,0xe0,0xc0,0x80,0x00}; char Led_num=0; unsigned int num=0; //中断计数 void delayms(unsigned int x) { unsigned int i,j;

    标签: 单片机

    上传时间: 2015-12-23

    上传用户:kimyu

  • 调光C程序

    /*#include<reg52.h> #define uint unsigned int #define uchar unsigned char #define uchar unsigned char sbit K1=P3^4; sbit K2=P3^5; sbit ledr=P1^0; sbit ledg=P1^1; sbit ledb=P1^2; bit LEDDirection=0;//LED控制方向0:渐亮1:渐灭 char  pwm=0; char  pwmr=0; char  scw=0;//中断记数 char  tt=0; char n; void dealy(uint z); void Timer0Init(void) {    TMOD=0x01;   TH0=0xff;   TL0=0x47;   EX0=1;    IT0=0;   PX0=1;   ET0=1;    TR0=1;   EA=1; } void main() {   Timer0Init();       while(1){ if(K1==0) { dealy (1); if(K1==0) {TR0=1;   ledr=0;       dealy(5);       TR0=0;       }          }      if(K2==0) { dealy (1); if(K2==0) { while(1) {     ledr=0;  //亮     dealy(100-n*10);     ledr=1;  //熄     dealy(n*10); }   }  }       } } void Time0Isr(void) interrupt 1 {        // pwm=0;      TH0=0xff;      TL0=0x47;          scw++; }*/ #include<reg52.h> #define uchar unsigned char bit LEDDirection=0; sbit P2_0=P1^0; sbit key1=P3^4; sbit key2=P3^5; sbit key3=P3^6; uchar zkb,i,t;// zkb指占空比 uchar pwm; void delay(uchar z) {   uchar x,y;   for(x=z;x>0;x--)    for(y=110;y>0;y--); } void init()  //初始化函数 {      TMOD=0X01;   TH0=(65536-1000)/256;   TL0=(65536-1000)%256;   EA=1;   ET0=1;   TR0=1; } void keyscan()  //键盘扫描   {     P3=0XFF; if(key1==0)   {    delay(5);     if(key1==0)     {      while(!key1);         if(zkb<9)    {      zkb++;    }        }   } if(key2==0)   {    delay(5);     if(key2==0)     {      while(!key2);      if(zkb>0)      {      zkb--;    }     }    }    if(key3==0)   {TR0=1;    delay(5);     if(key3==0)     {while(!key3);    if((zkb<=9)&&(0==LEDDirection))       {            zkb++;                 if(zkb>9)        {           LEDDirection=1;          zkb=9;                   }                }                   if((zkb>=0 )&&(1==LEDDirection))          {         zkb--;                   if(zkb<0 )       {          LEDDirection=0;         zkb=0 ;              //dealy(3000);       }                       }                 }    //pwm=pwmr;                              }             } void main() //主函数   {          zkb=2;     init(); while(1) {   keyscan(); }   } void time0(void) interrupt 1  //中断函数   {          TH0=(65536-200)/256; TL0=(65536-200)%256; ++i;   if(i>10)    {    i=0;    }; if(i<=zkb) {   P2_0=1; } else P2_0=0;   } /*void time0(void) interrupt 0  //中断函数   {          TH0=(65536-1000)/256; TL0=(65536-1000)%256; ++i; if(i>10)    {    i=0;    }; if(i<=zkb) {   P2_0=1; } else P2_0=0;   }*/

    标签: 调光

    上传时间: 2016-07-02

    上传用户:184890962

  • FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件

    FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。1 实验简介在前面的实验中我们练习了 SD 卡读写,VGA 视频显示等例程,本实验将 SD 卡里的 BMP 图片读出,写入到外部存储器,再通过 VGA、LCD 等显示。本实验如果通过液晶屏显示,需要有液晶屏模块。2 实验原理在前面的实验中我们在 VGA、LCD 上显示的是彩条,是 FPGA 内部产生的数据,本实验将彩条替换为 SD 内的 BMP 图片数据,但是 SD 卡读取速度远远不能满足显示速度的要求,只能先写入外部高速 RAM,再读出后给视频时序模块显示module top( input                       clk, input                       rst_n, input                       key1, output [5:0]                seg_sel, output [7:0]                seg_data, output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sd_ncs,            //SD card chip select (SPI mode) output                      sd_dclk,           //SD card clock output                      sd_mosi,           //SD card controller data output input                       sd_miso,           //SD card controller data input output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);parameter MEM_DATA_BITS         = 16  ;            //external memory user interface data widthparameter ADDR_BITS             = 24  

    标签: fpga

    上传时间: 2021-10-27

    上传用户:ibeikeleilei

  • Verilog HDl语言实现CPLD-EPC240与电脑的串口通讯QUARTUS逻辑工程源码

    Verilog HDl语言实现CPLD-EPC240与电脑的串口通讯QUARTUS逻辑工程源码 //本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在//PC机上安装一个串口调试工具来验证程序的功能。//程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控//制器,10个bit是1位起始位,8个数据位,1个结束//位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实//现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是//9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间//划分为8个时隙以使通信同步.//程序的工作过程是:串口处于全双工工作状态,按动key1,FPGA/CPLD向PC发送“21 EDA"//字符串(串口调试工具设成按ASCII码接受方式);PC可随时向FPGA/CPLD发送0-F的十六进制

    标签: verilog hdl cpld 串口通讯 quartus

    上传时间: 2022-02-18

    上传用户:nicholas28

  • 沁恒CH552E USB-HID自定义键盘带多媒体功能

    用的是沁恒CH552e淘宝买的评估板,USB中断上传程序用的是沁恒提供的做了些修改。程序使用2个端点一个,端点1作为普通键盘,端点2作为多媒体按键,有详细注释多媒体按键报告,以下是主函数内容。/****主函数****/main(){    CfgFsys( ); //CH552时钟选择配置    mDelaymS(5); //修改主频等待内部晶振稳定,必加 ConfigT0(2); //配置2ms T0中断 USBDeviceInit(); //USB设备模式初始化    EA = 1; //允许单片机中断    UEP1_T_LEN = 0; //预使用发送长度一定要清空  UEP2_T_LEN = 0; //清空端点2发送长度    FLAG = 0; //清空USB中断传输完成标志    Ready = 0; LED_VALID = 1;   //给一个默认值 P1_DIR_PU &= 0xE0; //在MOD_OC为0时  p1.5 p1.6 P1.7为推挽输出 P1_MOD_OC = P1_MOD_OC & ~0xE0; //3个口的bit4 = 0   p1.5 p1.6 P1.7设置为推挽输出    P1_DIR_PU = P1_DIR_PU | 0xE0; //3个口的bit4 = 1   p1.5 p1.6 P1.7设置为输出 key1 = 1; key2 = 1; key3 = 1; while(1) {    KeyDrive(); //按键驱动 }}

    标签: ch552e usb

    上传时间: 2022-05-15

    上传用户:moh2000

  • VIP专区-嵌入式/单片机编程源码精选合集系列(58)

    VIP专区-嵌入式/单片机编程源码精选合集系列(58)资源包含以下内容:1. veriloghdl语言工具书.2. 嵌入式词典查询.3. 基于ZigBee的无线网络技术.4. IO 口模拟232通讯程序使用两种方式的C程序.5. 用Turbo C 实现动画的一个简单的实现方法 这种简单方法利用cleardevice()和delay()函数相互配合.6. dsp2812系列开发办的原理图.7. 此程序为网络通信的16位校验累加和的经典程序。虽然简单但是很经典。代码简洁.8. 触摸式人行灯控制器原理图 触摸式人行灯控制器原理图.9. 3310LCD的C51驱动程序,还不错哦.10. 一些常用的LCD的C51驱动程序,还不错哦.11. NXP示例编码集,Software that is described herein is for illustrative purposes only which provides custom.12. W78E516B在系统编程的上位机程序.13. 使用TI TMS2407 实现SVPWM同步电机控制算法。源代码在闻廷科技的2407开发板上已经调试成功。.14. Nucleus Kernel Demo Full source code.15. Altera CPLD矩阵键盘一个很不错的Altera芯片程序.16. 基于Nios II的串口通信.17. The combined demo is dedicated for S1C33L05, so DMT33L05 should be used to load and run the demo. F.18. 用CPLD驱动SJA1000 CAN控制器.19. arm uart program lpc2104.20. 嵌入式PLC,基于8051F020,在KEIL上开发,可实现自定义PLC功能.21. SMDK2410原理图protel格式和PCB库元件.22. 功能:调用IAP服务程序实现Flash ROM的读/写操作向Flash ROM地址1000H处写入10个数据.23. 功能:由key1键使系统进入空闲状态.24. WDT功能试验。使用WDT的定时模块.25. 功能:使用CCU的4个模块.26. s3c2440开发板can控制器sja1000驱动.27. 一个3分频器。可进一步改装成实际需要的分频器使用.28. 通过软件的仿真,硬件的调试,实现串口数据的传输,开发环境包括C-C++与汇编语言..29. ICD2原理图(protel格式、带usb接口和串口).30. 免費分享版網路硬碟 01.創意風格首頁 02.申請會員 03.密碼查詢 04.會員容量限制 05.上傳檔案支援  Persits.Upload Dundas.Upload Lyf.31. 是一个ARM214系连的最小系统原理图,希望能多爱好嵌入是开发的人员有帮助.32. ds1621的c51驱动,带12864液晶驱动..33. ds1302时钟代码.34. LCD显示屏驱动sed1335驱动.35. 汉字显示字库及程序源码14*16标准字库.36. 14*16字库生成器升级版.37. This utility downloads code to Philip LPC 2000 series chips.38. 测试ARM的外围SDAM的状态和参数.39. 三星44B0上的MP3源码.40. CPLD 的程序,分频器.

    标签: 塑料 模具 软件

    上传时间: 2013-07-22

    上传用户:eeworm